Oproep : Van 0 en 1 tot FPGA

free_electron

Silicon Member

verdikke das nog eens een gedacht

een boek met alleen maar ontwerpen uitgevoerd in surface mount.

101 recepten voor de reflow-oven.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Ha :-)
En zo'n reflow-oven is zelfs voor de hobbyist geen probleem meer, in Elektuur stond overlaatst een recept om een gewoon, ordinair kookoventje te voorzien van de nodige intelligente elektronica om er een reflower van te maken.
Nu nog alleen nog de betere bak- en braad recepten.

Wat experimenteren met soldeerpasta's en je bent vertrokken.

- - big bang - -

P.S. ben weer te enthousiast bezig ...
en Klein is Fijn zal dit zeker toejuichen

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten
IF you can't convince them, then confuse them!

Degene die het FPGA combinatiepakket van Elektuur willen hebben moeten tot het nieuwe jaar wachten want hij is uitverkocht :'(

Hobby, maar sample met mate. | BumbleBee plus pack | Weerstand calculator voor je PSP

FPGA omvat logische schakelingen..hmm..Ben benieuwd of je hiermee eenvoudig DSP bewerkingen kan knutselen zoals in een standaard uC. Dat zal haast wel want softcore processoren schijnen er inmiddels ook genoeg. Tsja FPGA kom je ook tegen in veel medische en meetapparatuur waar veelal DSP wordt toegpast. Ben erg benieuwd hoe je deze vaak simpele bewerkingen stapsgewijs kan uitvoeren in FPGA maar kan er nog weinig over terugvinden. Besteedt je hier ook wat aandacht aan in je boek free ?

free_electron

Silicon Member

een fga is een grote bak met ands en ors en flipflops

wat je ermee gaat doen bepaal je volledig zelf.
als je daar per se ene dsp wilt in stoppen : be my guest maar je zult er eene moeten ontwerpen of in licentie nemen.

je kan inderdaad softcore processoren gebruiken.

ik ga proberen om als demo een 8051-ah-basic te laten draaien in een fpga. met andere woorden een systeem gebaseerd op 8052 softcore , daarin de basic intrpreter van intel laden en ene custom blok logica eraan hangen en daar dan een programmatje op schrijven.

dat geeft een idee hoe zoeits in zijn werk gaat

een fpga met daarin een verilog model van ene processor 'geprogrammerd', die dan zelf weer een interpreter draait , waarop de geruiker een programma kan schrijven wat dan uitgevoerd word.. van 'programmable logic' gesproken ...

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

'n Goeinavond!

Laat ik mij ook maar even mengen in dit FPGA topic.
Mij lijkt het ook wel leuk om wat te gaan prutsen met
FPGA's. Nu kan je natuurlijk een 'duur' kant en klaar
development board kopen met alle toeters en bellen eraan, maar dan ben je een hoop geld kwijt. Het gaat mij juist
om wat experimenteren op basis niveau.

Echter kan je wel FPGA's in nederland kopen, maar zover ik zie geen goedkope printjes. Mijn gedachten gaan hier naar uit:

http://www.fpga4fun.com/board_plutos.html

Deze kosten 30, 50 en 80 dollar.

Vooral de eerste 2 lijken me wel fijn om mee te starten. Dit printje is eenvoudig, bevat de nodige componenten ( FPGA met bootprom. Verder geen andere rommel eraan, die kan je zelf toevoegen door connectoren te solderen op de print. Mijn grootste probleem is de behuizing van de chip en dus de printplaat ( erg fijntjes, die TQFP )

Mijn vragen hierover:

- Lijkt dit een goed startprintje?
- Is zoiets dergelijks ook in nederland te koop?

Ik ben zeer benieuwd!

Groet,

Thijs

free_electron

Silicon Member

die zijn inderdaad leuk om mee te starten.

ik target het elektuur fpga platform alsook een circuitje specifiek voor het boek

idee is nog niet helemaal rijp maar het zou ene bordje worden met de fpga ( eigenlijk een cpld omdat ik geen external config memory wil ) er reeds op gesoldeerd.
de rest moet je dan zelf solderen.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Hoi f_e

dank je voor je reactie. jij denkt dus wel dat die bordjes wel goed zijn om te starten.. das mooi, dan ga ik 1 van die bestellen.

Nu heb ik dus de keuze tussen ACEX, Cyclone en CycloneII

Nu liggen Cyclone en CycloneII denk ik wel dicht bij elkaar in de buurt, maar wat is goed om mee te starten zegmaar? ( waar verschillen ze in, naast het aantal logic cells )

Ik heb van school een bordje meegekregen met een EPM7032SLC44-10 van Altera.. das zon MAX7000 serie CLPD.
Deze is volgens mij erg beperkt in functioneren en dus niet zo geschikt voor wat leuke starterschakelingen.

Kan je deze 3 typen misschien wat toelichten?

free_electron

Silicon Member

cyclone of cyclone II

acex is oud. net zoals die max7000

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op 2 december 2006 17:11:25 schreef Mathijs van den Berg:

Ik heb van school een bordje meegekregen met een EPM7032SLC44-10 van Altera.. das zon MAX7000 serie CLPD.
Deze is volgens mij erg beperkt in functioneren en dus niet zo geschikt voor wat leuke starterschakelingen.

Oh jawel hoor je kunt er een beetje leuke kleine vingeroefeningetjes me doen (loop lichtje en zo) maar je hebt gelijk die 7032 is erg klein.

Kijk ook eens naar de bordjes die Altium maakt die zijn redelijk goedkoop (99 euro) en ze hebben een hele hoop toeters en bellen

http://www.altium.com/Community/LiveDesignEvaluationBoards/

Bij altera staat er nog een fototje bij

http://www.altera.com/products/devkits/partners/kit-alt-live-design.ht…

En ze geven ook een hoop voorbeeld code

Hoi surge_me,

uiteraard ga ik eerst wel even klooien met dit bordje, beetje de basis kennen ( de MAX7000 ).Deze wil volgens mij enkel AHDL verwerken, en verilog lijkt mij de 'huidige' taal.

Die van 99 euro is opzich wel leuk. Heeft aardig wat toeters en bellen aan boord. Altera zelf bied ook een leuk starterkitje aan.. die kost dan 150 dollar, en heeft een CycloneII aan boord.

Het wordt dus zowiezo een cyclone of cyclone II, zoals f_e al adviseert. Om het leuk te houden heb ik volgens mij genoeg aan een cylone met een 3000 LE's. Dit Pluto boardje kost 50 dollar, en voor 10 dollar wordt hij wereldwijd verzonden. Tevens zijn ze direct leverbaar ( mailtje gestuurd ).

Het handige van deze 'Pluto' is, dat je hem zo op een breadbordje kan drukken, en er kleine schakelingen aan kan hangen en is er geen speciale programmeerhardware nodig.

Groet,

Thijs

Op 3 december 2006 14:26:34 schreef Mathijs van den Berg:
Hoi surge_me,

uiteraard ga ik eerst wel even klooien met dit bordje, beetje de basis kennen ( de MAX7000 ).Deze wil volgens mij enkel AHDL verwerken, en verilog lijkt mij de 'huidige' taal.

Waarom denk je dat?, als je Quartus van Altera download kun je gewoon in VHDL en Verilog (en ook AHDL) een configuratie voor in je device ontwerpen.

En het verschil tussen verilog en vhdl is dat vhdl strikter is (je kunt geen dingen maken die voor meerdere intepretaties vatbaar zijn) dit kan goed zijn als je zeker wilt weten dat jouw schakeling precies doet wat jij ontworpen hebt. Daar tegen over staat natuurlijk wel dat al je zelf bedachte "gekke" truckjes (die in het echt 9 van de 10 keer wel zouden werken) door de compiler (synthesizer) fout gerekend worden.

VHDL vind je voornamelijk in Europa en bij het leger en de ruimtevaart.

Voor het "gewone" werk kun je heel goed af met Verilog, deze taal is minder strikt maar daar staat ook tegen over dat je veel minder hoeft te tikken en dat jouw zelft bedachte "rare" truckjes wel werken.

Je snapt natuurlijk als het niet om een heeeeeeel erg kritische taak gaat dan is de snelheid waarmee je iets in Verilog ontwikkelt gigantisch veel hoger dan als je het in VHDL zou ontwikkelen.

Verilog vind je steeds meer in europa maar voornamelijk in de VS.

Die van 99 euro is opzich wel leuk. Heeft aardig wat toeters en bellen aan boord. Altera zelf bied ook een leuk starterkitje aan.. die kost dan 150 dollar, en heeft een CycloneII aan boord.

Het wordt dus zowiezo een cyclone of cyclone II, zoals f_e al adviseert. Om het leuk te houden heb ik volgens mij genoeg aan een cylone met een 3000 LE's. Dit Pluto boardje kost 50 dollar, en voor 10 dollar wordt hij wereldwijd verzonden. Tevens zijn ze direct leverbaar ( mailtje gestuurd ).

Het handige van deze 'Pluto' is, dat je hem zo op een breadbordje kan drukken, en er kleine schakelingen aan kan hangen en is er geen speciale programmeerhardware nodig.

Tis precies wat je ermee wilt, Cyclone 2 is zuiniger dan cyclone 1 (ooit gelezen dat di laatste een paar ampere aan inrush current heeft :S)

Het zelfde geld voor dat pluto bordje of dat altium ding. De eerste is de helft goedkoper en kun je op een bradboard duwen. De laatste heeft veeeeeeeeeeeel meer toeters en bellen aan boord.

Zo zie je voor alles valt wat te zeggen, het is helemaal wat jij wilt (en een eenduidig antwoord is dus niet mogelijk)

free_electron

Silicon Member

Op 3 december 2006 12:15:29 schreef surge_me:
[...]
Oh jawel hoor je kunt er een beetje leuke kleine vingeroefeningetjes me doen (loop lichtje en zo) maar je hebt gelijk die 7032 is erg klein.

Kijk ook eens naar de bordjes die Altium maakt die zijn redelijk goedkoop (99 euro) en ze hebben een hele hoop toeters en bellen

http://www.altium.com/Community/LiveDesignEvaluationBoards/

Bij altera staat er nog een fototje bij

http://www.altera.com/products/devkits/partners/kit-alt-live-design.ht…

En ze geven ook een hoop voorbeeld code

juist. die was ik effe vergeten. ik heb er hier zelf 2 liggen ( ene met altera en ene met xilinx ) en das een serieuze fpga die daar op zit hoor ...

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 3 december 2006 16:31:06 schreef surge_me:
[...]

Waarom denk je dat?, als je Quartus van Altera download kun je gewoon in VHDL en Verilog (en ook AHDL) een configuratie voor in je device ontwerpen.

Vergeet shcema niet ! lekker met vertrouwde 74xx chippies iets doen.

Je snapt natuurlijk als het niet om een heeeeeeel erg kritische taak gaat

ehhhh. Intel Pentium tot en met core Duo / Clovertown ? Alles vanaf AMD K6 ? Alles van Nvidia en ATI ? Alles van sun en cisco ? moet ik doorgaan ? das allemaal in verilog gemaakt hoor ...

Verilog vind je steeds meer in europa maar voornamelijk in de VS.

vergeet japan niet

cyclone 1 (ooit gelezen dat di laatste een paar ampere aan inrush current heeft :S)

klopt. de ep1c6 vreet 2 ampere bij powerup gedurende een par milliseconden. alhoewel de nieuwere versies dit probleem opgelost hebben blijkbaar.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

De keuze tussen VHDL/Verilog/whatever moet je eigenlijk zelf maken... Ik heb op school VHDL geleerd, en kan me perfect in die strikte syntax vinden. Verilog ken ik eigenlijk niet. Heb wel eens een lap code bekeken, maar daar houdt het op. Ik vind VHDL best een aangename taal om in te werken.

Maar het gaat eigenlijk helemaal niet daarom welke taal je kiest, het is hoe je ze gebruikt. Je moet goed weten wat synthetiseerbaar is, en wat niet. Je moet goed weten wat het synthesetool van bepaalde constructies zal genereren. Je moet weten wat synchroon/asynchroon is. Je moet de basis digitame electronica meehebben, zoals counters, flipflops, registers, latches, AND/OR/NOT/XOR, klokgeneratie, klokdeling, ... Je moet rekening houden met skew van signalen, met zaken om glitches tegen te gaan (en dus ook hoe ze ontstaan).

Al deze zaken zijn even goed te verklooien in alle talen, daar ben ik zeker van. Het is dus goed opletten geblazen met WAT je schrijft, en dat je er rekening met houdt dat je geen programma schrijft, maar HARDWARE. Dat is het essentiele. De taal die je dan gebruikt doet er dan totaal niet toe.

Altera ondersteund zoals gezegd VHDL en Verilog, dus kijk welke syntax je prettiger vindt, probeer wat uit, en gebruik dan de taal die je het beste bevalt. Maar daar je precies wel interesse hebt in f_e zijn boek, en daar hij fervente verilogger is, zou ik je tot verilog pushen

Happy designing

Sonar is meer dan Ping...

Hoi,

Bedankt voor jullie reacties! Nooit gedacht dat er 2 ampere opstartstroom loopt! Moet je daar rekening mee houden voor ontwerp, met bijv. USB gevoed (max 500ma )?

Ik krijg waarschijnlijk volgend jaar FPGA's als vak. Nu ben ik erg geinstresseerd in die dingen, dus dacht.. kan nooit kwaad als ik me er al vast in ga verdiepen.

mijn vraag is inderdaad ook welke taal ik mezelf ga leren. Op school zal ik met AHDL te maken krijgen neem ik aan. Gebruiken daar MAX+plus II.

Als ik zo stukken code zie, kan ik mij meer vinden in verilog, dat oogt voor mij wat leesbaarder. Toch blijft het nog erg moeilijk. Zoals je (stecj366) als zegt. Je bent geen programma aan het maken, je maakt hardware.

Ik heb met AHDL al wat testjes gedaan met AND en OR poorten. Hieraan gekoppeld wat schakelaars en ledjes. Werkt uitstekend. Echter een stappenplan: als ingang laag, dan 4 ledjes om beurten 5 keer laten knipperen en dan weer wachten wil nog niet. Ik zit dan weer te denken met een programma in mijn hoofd.

Het zal voor mij even moeilijk zijn omdat ik jaren microcontrollers gewend ben. Daar gaat juist alles achter mekaar, en ben dan ook gewend met de 'truckjes' om betrouwbaar hardware aan te sturen. Het boek van f_e lijkt me mooi studiemateriaal/naslagwerk. Duurt helaas nog even voordat het uitkomt dus zal het deels van internet moeten hebben.

ben benieuwd!

Groet,

Thijs

KT88

Overleden

Op 3 december 2006 23:12:05 schreef Mathijs van den Berg:
Bedankt voor jullie reacties! Nooit gedacht dat er 2 ampere opstartstroom loopt! Moet je daar rekening mee houden voor ontwerp, met bijv. USB gevoed (max 500ma )?

Mwah, dikke low-ESR elco er bovenop, die startstroom is enkele milliseconden volgens Free.
Ik denk dat je er met 470 uF wel bent, kun je van een oud moederboard slopen :P

" Ratings are for transistors.....tubes have guidelines" - www.audioconsultancy.nl -

Op 8 november 2006 23:49:54 schreef free_electron:
verdikke das nog eens een gedacht

een boek met alleen maar ontwerpen uitgevoerd in surface mount.

101 recepten voor de reflow-oven.

:D

Op 3 december 2006 19:09:06 schreef free_electron:
ehhhh. Intel Pentium tot en met core Duo / Clovertown ? Alles vanaf AMD K6 ? Alles van Nvidia en ATI ? Alles van sun en cisco ? moet ik doorgaan ? das allemaal in verilog gemaakt hoor ...

Eh mijn computer loopt vast (hoe dat ook komt, video kaart cpu etc maakt niet uit) ik reset hem, hij staat nog naast mijn bureau

Als ik nu een sateliet heb (niet dat ik dat heb maar goed...) als hij dan vastloopt op 360000 km boven de aarde....
Dan druk ik niet meer op reset. (kom ik niet meer bij he)

Dus als het niet heeel kritisch is zoals bij home/office/consumenten electronica, dan gewoon verilog gebruiken... anders VHDL

Op 4 december 2006 08:43:00 schreef surge_me:
[...]
Eh mijn computer loopt vast (hoe dat ook komt, video kaart cpu etc maakt niet uit) ik reset hem, hij staat nog naast mijn bureau

Als ik nu een sateliet heb (niet dat ik dat heb maar goed...) als hij dan vastloopt op 360000 km boven de aarde....
Dan druk ik niet meer op reset. (kom ik niet meer bij he)

Dus als het niet heeel kritisch is zoals bij home/office/consumenten electronica, dan gewoon verilog gebruiken... anders VHDL

Je kan evengoed een stuk hardware ontwerpen in VHDL dat slecht werkt... Daar hoef je niet eens moeite voor te doen, ik zie constant slechte designs... Dit komt gewoon voort uit het feit dat velen er niet aan denken dat het hardware is dat ze maken, en geen software.

VHDL is strikter in syntax, een voordeel dat bovenkomt als er veel mensen aan 1 ontwerp werken. Men moet zich gewoon aan bepaalde regels houden (valt wel mee hoor). Bij verilog kan het bedrijf zelf de regels opstellen, waar elke ontwerper zich dan aan moet houden.

En die sattelieten hebben niet slechts 1 draaiend systeem, er zijn tal van reservescenarios die, als het hoofdsysteem het laat afweten, gewoon overnemen. Een videokaart, ja daar zit maat 1 GPU in, het zou gewoon te duur zijn om er 2 in te steken voor in het geval dat dat ding eens crasht. En meestal zijn het drivergfouten die een crash geven, geen HW problemen.

Sonar is meer dan Ping...
free_electron

Silicon Member

Op 4 december 2006 08:43:00 schreef surge_me:
[...]
Als ik nu een sateliet heb (niet dat ik dat heb maar goed...) als hij dan vastloopt op 360000 km boven de aarde....

o ik was effe de 2 mars rovertjes vergeten , alsook Boeing , Nasa , Rockwell , Martin-marietta en nog ene nest anderen ...

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

computer ( eender waar die zit ) crashes zijn te wijten aan 3 mogelijke oorzaken

1) 99.9 % SOFTWARE fouten.
2) 0.99999999 % door catastrofale breakdown van de hardware. daarnaa is de hardware definitief defect. ( met andere woordne reset of power cycle haalt niks uit )
3) 0.00000001 % door kosmische straling of andere alfa straling die ene geheugencel laat toggelen met catastrofale gevolgen. ( drams hebben daar last van )

een blok digitale electronica is zo goed uitgewerkt deser dagen dat daar haast geen fouten in zittne. en als ze er toch zijn (fouten in het ontwerp) is het de taak van de programmeur om die te omzeilen.

trouwnes een AND poort besluit niet om even een OR poort te worden .... de electronica liegt niet en doet geen random dingen. het enige probleem is dat in hedendaagse snelle systemen de kleinste mug plotseleing een kudde olifanten in stormloop wordt.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

En iets als een watchdog timer of een ander life-signaal kan die éne keer dat er nét wat storing/straling toch een bitje doet omklappen ofzo de satelliet doen resetten, daar heb je geen marsmannetje voor nodig.

AKA Naftebakje @Tweakers.net --- Zonder dwarsliggers geen spoor

Op 4 december 2006 08:43:00 schreef surge_me:
Eh mijn computer loopt vast (hoe dat ook komt, video kaart cpu etc maakt niet uit) ik reset hem, hij staat nog naast mijn bureau
Als ik nu een sateliet heb (niet dat ik dat heb maar goed...) als hij dan vastloopt op 360000 km boven de aarde....
Dan druk ik niet meer op reset. (kom ik niet meer bij he)

Miljaar, alles draadloos bestuurbaar gemaakt, en nu toch wel de hardware reset daarbij vergeten zeker... :-)
En voor StarWars toestanden als deze: even naar The Intergalactic Bountyhunter bellen of hij even op Mars die batterypack van een paar Marsrovers wil gaan uittrekken en nadien terug insteken is het nog wel te vroeg....

Nu even serieus, heb van dat hele Marsroversgebeuren een paar docu's gezien, het feit is dat zo'n lancering op vrij strikte tijdsschema's moet gebeuren en niet zomaar even tot een andere datum kan worden uitgesteld omdat het vandaag even niet kan.
De hardware moest klaar zijn tegen een bepaalde deadline (die voor de 2 rovers verschillend lag), het grootste deel van de software is nog ontwikkeld tijdens de 7 maanden lange trip naar Mars en overgestraald voor de landing.
Prachtig staaltje van wat de mens al bereikt heeft.

Op 4 december 2006 16:10:47 schreef free_electron:
computer ( eender waar die zit ) crashes zijn te wijten aan 3 mogelijke oorzaken

...3) 0.00000001 % door kosmische straling of andere alfa straling die ene geheugencel laat toggelen met catastrofale gevolgen. ( drams hebben daar last van )

Free, is dat nu echt? Heb al wel vernomen dat een krachtige EMP (elektromagnetische puls) de boel serieus kan verzieken, maar blijkbaar komen daar ook röntgen en radioactieve straling bij. De meer energetische straling dus. Hmmmm
Dan moet daar toch serieus rekening mee gehouden worden in bvb. aeronautische applikaties??

En wat bvb. als ze op de luchthaven je zorgvuldig ingepakte laptop door de Xray scanner duwen?

Sorry voor topicvervuiling.

- - big bang - -

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten