Oproep : Van 0 en 1 tot FPGA

free_electron

Silicon Member

All right boys ( en girls ) hier gaan we.

Een aantal onder jullie weten waarchijnlijk dat ik druk bezig ben aan een nieuw boek, ditmaal in het nederlands. ( en ja ik heb een spellchecker ! )

Van 0 en 1 tot FPGA is de werktitel voor het ogenblik.

de bedoeling is om digitale techniek toegankelijk te maken voor de geinteresseerde. zowel klassieke logica ( 74xx en 4xxx ) komen an bod als ook de overstap naar programmeerbare logica.

We beginnen bij het aller prille begin met booleaanse logica ,de wetten van demorgan, minimalisatie van logische vergelijkinge met Karnaugh-veich en Quine McCluskey .
De basis comnbinatorische circutis (encoders decoders transcoder , multiplexers , rekenkundige circuits zoals adders substractors en multipliers, code convertoren :van gray naar bcd en dergelijke, parity generatoren en LUt's ) en hoe die te onwerpen.

Vervolgend gaan we het over allerhande flipflops hebben , het maken van register gebaseerde componentne zoals synchrone en asynchrone tellers , shuifregisters ,
state machines maken. programmerbare tellers. en hoe ald it spul te ontwerpen.

Er zit een hoofdstuk in over de verschillende families van logische bouwstenen ( rtl ,dtl ,ttl cmos , ecl , pecl , GTl , I2L

een groot hoofdstuk over het ontwerpen in de praktijk en niet met 'papieren ideale' onderdelen.
Zaken zoals de in en uitgangsniveaus , verboden zones , rise en fall time , fan in en fan out , schmitttriggers stoorgevoeligheid , propagation delay , voeding en ontkoppeling , setup en hold times , metastabiliteit , race condities , ringing ground bounce en crosstalk alsook bord layout , terminatietechnieken voor snelle signalen komen aan bod,

ook het recycleren van losse gates komt aan bod. : vaak heb je nog ene paar losse poortjes over : wat kan je ermee en hoe kan je een met die losse dingen net die ene or met 3 ingangen maken die je nog ergens nodig had zonder daar een extra component voor nodig te hebben. zaken zoals wired or en wired-and komen daar ook aan bod. alsook het leren schuiven van invertoren.
open collector en emitter circuits , totempile uitgangen , tristate uitgangen.

bus buffers en pass gates komen ook aan bod.

Dan gaan we het een beetje over rpogrammerbare logica en de geschiedenis daarvan hebben. PLa PAL GAL EPLD CPLD FPGA hybride devices, allemaal komen ze aan bod.

dan gaan we leren ontwerpen met die componenten : hun voeding : hoe ze programmeren. werken met meerdere io spanningen ,

dan gaan we het een beetje hebben over de synthesetalen zoals Abel , Cupl, AHDl , verilog en VHDL

om tensolotte een blik te wepren op ontwikkelsystemen zoals Xilinx ISE en Altera Quartus.

Altera quartus gaan we in detail gaan uitvlooien.

en dan de tweede helft gaat eigenlijk de eerste helft van het boek gaan recyleren maar nu hoe je al dat spul in een synthesetaal ontwikkeld. alle voorbeelden worden ontwikkeld in zowel Verilog als VHDL.
ook gaan we het gebruik van reeds bestaande blokken bekijkenalsook het gebruik van de macrowizard in quartus.

en als kers op de taart gaan we een alarmklokje maken met 4 LED display met gemultiplexte aansturing in ene kleine CPLD. daar kan later dan eventueel ook een USb pport aan komen die de tijd print naar een seriele poort ( FTDI gebaseerd ding ) daar ben ik nog niet uit. tmoet simel zijn.

de ontwikkeltools zijn volledig gratis. schemas van programmererkabeltjes worden voorzien alsook schema en pcb van ene demobordje. tmoet goedkoop zijn dus er komt waarchijnlijk een kleine MAX-II op.

heel vele aandacht wordt besteedt aan het maken van echte electronica en niet simepelweg wat pporten aan elkar kleven in ene simulator die niet weet wat propagation delay is. Vele van de VHDL schrijvers hebben geen idee wat er zich op ene print zoal afspeelt en wat er voor kan zorgen dat hun blok code compleet de mist in gaat.

Bon, waarom deze post

1) waar hebben jullie problemen mee ? ( gelieve u te beperken tot logische circuits. problemen met vrouw vriendin en financiele kan ik niet oplossne met dit boek :p )

2) zijn er bepaalde zaken die je behandelt wilt zien ?
3) laat maar komen.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Klinkt goed, f_e.

Problemen... Tsja, vaak verkrijgbaarheid (in kleine aantallen) en een programmeertool (of een toko die dat voor een normale prijs kan doen). Verder wordt iets met een normale footprint verkrijgen steeds spannender. Weet niet of je daar op papier wat aan kunt doen.
Ik zit zelf nog wel eens te hannessen met timing: als je een hand poortjes achter elkaar plakt, hoe erg klappert het agv. progagation delay verschillen aan de uitgang voordat eea. stabiel is (en hoe erg is dat voor de rest of hoe voorkom je het leuk). Gat in mijn kennis, nooit echt veel moeite gedaan om het op te vullen (je kunt niet alle gaten vullen... :) ). Lijkt me dat dat er impliciet wel in zit.

Zorg dat je NOOIT, NOOIT, NOOIT wat met Versatel Tele2 te maken krijgt!
free_electron

Silicon Member

tjah. DIL is out en PLCC is ook al gestorven ( of toch bijna )
Die MAX -II zit in TQFP en das redelijk verwerkbaar.
programmerhardware is 4 weerstanden en een printerpoort :p en de software is gratis. dus das gene probleem.
plus je kan net zo makkelijk ene schema maken met 74xx jez in quartus als in eender welk ander schematekenpakkete, maar je hebt als voordeel dat het met 1 druk op de knop ( en das LETTERLIJK 1 Druk op de knop ) in die fpga gejaagd wordt.

en als je ene problemepje ontdekt : schematje aanpassen en op de knop drukken. tegen een circuit met losse dil chipjes kan je beginnen krabeen , spinnewebben bouwen of gewoon je print in de vuilbak smijten en herbeginnen. das het leuek aan FGPA en CPLd's. Foutje ? niet erg. klikkerdeklik , voila tis gefixt.

verkrijgbaarheid is geen echt probleem . bijna elke boer heeft er in huis.

PAL en GAL en MACH en al dat ander spul was smeerlapperij. zeer beperkt in mogelijkheden, zwakke ontwikkeltools, duure tools, speciale programmers en dergelijke. Maar de CPLD en FGPa van tegenwoordig zijn allemaal te 'laden' via ene JTAg poort. int slechtste geval zijn dat 4 weerstandne aan ene printerpoort en wat software ( die al ingebakkin zit in de ontwikkelomgeving. ) als je het proper wilt doen zet je er ene 74hct244 tussen.

de goedkoopste draaien rond de 4 a 5 euro en hebben ene paar duizned poorten en flipflops aan boord. voor 5 euro tegenwoordig mag je blij zijn als je ene 74390 en 2 7447 en ene paar 7400 hebt... dat stamp je in die 5 euro fpga en je hebt nog 99 % over om er spul bij in te duwen .

dat klokje duw je zonder rpoblemen in de kleinste fpga. als je de kostprijs daarvan zou berekenen met ttls .... is het 10 keer duurder

[Bericht gewijzigd door free_electron op woensdag 5 juli 2006 08:18:54

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
GJ_

Moderator

Ik vond die PAL's en MACH's prima! Bovendien waren er ook niet veel alternatieven. Ik werkte met PALasm van AMD en dat was zeker niet duur: de AMD vertegenwoordiging is dat gratis komen brengen in ruil voor een kop koffie. De programmer kostte wel wat meer maar daar kon je dan ook alle soorten programmeerbare IC's in programmeren/copieren.

Ondanks je bedenkelijke kijk op deze deviesjes beloof ik dat ik verwachtingsvol en vol ongeduld zal uitkijken naar de verschijning van je boek.

Op 5 juli 2006 08:16:27 schreef free_electron:

Die MAX -II zit in TQFP en das redelijk verwerkbaar.

waarom gebruik je geen max3000A, die heb je al in een 44 pins tqfp en is dus voor iedereen makkelijk te solderen (wat niet geld voor die 100 pins MAXII dingen.

1 druk op de knop ( en das LETTERLIJK 1 Druk op de knop ) in die fpga gejaagd wordt.

ff mieren n**ken tzijn drie knopen 1 om te compilen en een om de programmer op te roepen en 1 om de programmer te zeggen dat i moet programeren.

Maar je hebt idd gelijk, JTAG is ideaal en het werkt vaak in 1 keer.

verkrijgbaarheid is geen echt probleem . bijna elke boer heeft er in huis.

Das niet waar ze hebben dr vaak niet eens van gehoord, dan kunnen ze wel weer bestellen bij Farnell, waar ze dan weer zo duur zijn dat je beter een aantal samples kunt kopen van ALTERA zelf (ik weet niet hoe dit met Xilinx zit...)

de goedkoopste draaien rond de 4 a 5 euro en hebben ene paar duizned poorten en flipflops aan boord. voor 5 euro tegenwoordig mag je blij zijn als je ene 74390 en 2 7447 en ene paar 7400 hebt... dat stamp je in die 5 euro fpga en je hebt nog 99 % over om er spul bij in te duwen .

vanaf 1 euro bedoel je zeker (exclusief verzend)voor die 1ne euro heb je dan wel iets dat echt beperkt is (je kunt er een paar ledjes mee driven of een aantal logische chips vervangen maar dan heb je het ook wel gehad.)

Wat ik nog wel zou willen weten is hoe Quartus omgaat met een Jtag chain, dus als je een CPLD en een FPGA of een DSP en een FPGA en CPLD (o.i.d, bedenk zelf maar wat) met de JTAG daysi chained. Herkent Quartus dan de verschillende componenten (ook als ze niet door Altera gemaakt zijn?)

En zijn er programmatjes waar mee je die FPGA's kunt programeren buiten Quartus om?

Een (begin) cursus VHDL en Verilog zou ook niet verkeerd zijn...

Dit lijkt weeral een erg interessant boek, ik ben echt fan van de digitale kant van elektronica.
Op school hebben we VHDL gezien, tis super dat je al je programeervoorbeelden zowel in verilog als VHDL zal schrijven, dan moet ik denk ik wel rap mee zijn met de verschillen en gelijkenissen tussen beide.

Mss kan je nog met voti overeenkomen om een setje met het nodige spul in het assortiment op te nemen, want mijn lokale boer zal me weer raar aankijken als ik een fpga vraag (hij heeft dacht ik 1 chipje in smd, een max232, omdat ie er eens een heeft moeten vervangen, rest is nog allemaal dip :) ).

@surge_me: zijn boek lijkt me wat basiscursus te zijn, en als je eens een codevoorbeeldje goed bekijkt ben je mee hoor, erg moeilijk is het allemaal niet, je beschrijft gewoon wat er moet gebeuren.

[Bericht gewijzigd door Videomodulator op woensdag 5 juli 2006 08:57:51

AKA Naftebakje @Tweakers.net --- Zonder dwarsliggers geen spoor

Hoi F_E,

Ik zal je wat voorbeelden geven waarvan ik vind die in een GOED boek horen. Want heleboel boeken komen met voorbeelden waar je niet veel aan hebt, om maar te zwijgen over Elektuurboeken. Maar ik kom straks, denk ik in de avond, op terug. Want dit wil ik niet missen ;)

"Een model dat niet werkt is erg. Veel erger echter is een model dat werkt, maar waarvan niemand weet waarom"

Ben erg benieuwd naar het boek, weet je ook al een verwachte uitkom datum?
Ga dit zeker in de gaten houden.

Zoekt en gij zult vinden, vindt gij niet dan is het zoek

Ben zelf al weer een tijdje bezig met FPGA's en mijn 'probleem' is dat ik meer een C/C++ programeur ben. Ik vind het vooral lastig om van denkwijze te veranderen. Ik merk dat ik zaken al gauw op een functionele programeertaal achtige manier wil oplossen, terwijl in verilog het heel anders moet. Vaak grijp ik dan ook naar een state machine. Even wat verilog specifike zaken:
- Wanneer moet je blokking/non-blokking gebruiken
- meerdere always of alles in 1 alway
- hoe bepaal je de sensitivity lijst van een always
- hoe pak je grote projekten aan met meerdere modules. Hoe beslis je wat waar komt.
Heb zelf het boek van Palnitkar. Is een goed basis boek, maar mis juist de uitgewerkte synthiseerbare voorbeelden.

Over de hardware:
De verkrijgbaarheid kan inderdaad lastig zijn voor de hobbist. Heb zelf een spartan 3 direct bij xilinx besteld, maar ik zag laatst dat dit niet meer mogelijk was :(. Digikey heeft wel wat xilinx parts, maar geen 'soldeerbare' spartan 3's. De altera cyclone II 208-PQFP heeft digikey wel.
Qua elektronica steld een FPGA/CPLD board niet zo veel voor. Ik ben geen zeker geen doorgewinterde hardware designer maar ben er toch ingeslaagd om een ontwikkelboard van scratch op te bouwen inclusief de voeding en een EZ-USB uC. D'r zwerven wel wat foto's op dit forum rond.
En tja, als je wil gaan werken met CLPD/FPGA's dan ontkom je niet aan SMD, punt. Maar met een goede bout en een vaste hand lukt het prima.

Voor in het boek zou ik ook een soort basis systeempje willen zien waarin een simple FPGA zit met een voeding en een USB (FTDI?) interfaceje. Wat erg handig is voor een experimenteer systeem is een programeerbare clock. Voor de rest zou ik alle pinnen via headers naar buiten voeren.

Zal verder nog eens nadenken. Goed initatief. Keep it up!

Een paar hoofdstukken over code verificatie, (co)-simulatie/testbenches en het genereren van testpatronen, lijkt me ook een pluspunt voor je boek.

Maar misschien wordt het boek dan een beetje te dik ;-)

Ik ben zelf redelijk los op digitale techniek.
Mag ook wel, want ik ben daar al sinds mijn 12e mee bezig,
en heb er gedurende lange tijd ook mijn centjes mee verdiend.

Waar ik nu eigenlijk tegenaan loop zijn een aantal aspecten
in relatie tot mijn computer.
Het schijnt zo te zijn dat er voor fpga's maar ook voor een aantal minder exorbitante zaken maar erg weinig software bestaat die op een OpenSource (lees gerust UNIX achtige) Platforms draaien.
vreemd eigenlijk want dat zijn toch juist de systemen waar techneuten liever mee werken dan met onhandige MicroSoft toestanden.
Zou je welicht eens kunnen uitdiepen hoe dat probleem te omzeilen valt.

Voor wat meer eenvoudige zaken zoals PIC's zijn er leuke paketjes of kun je die zelf samenstellen uit diverse progseltjes
Ik meen dat dit ook voor AVR's geld.
FPGA's is een ander verhaal.

Ook is het welicht interesant om aan te stippen hoe je voor moderne digitale technologie nog een PCB kunt ontwerpen en (laten) produceren.

Eigenlijk dus niet zo zeer technische problemen maar meer praktische.

Verder ben ik onder de indruk wat je allemaal van plan bent.
Ik denk dat ik wel geintereseerd ben in je boek.
Lijkt me erg interesant voor technische opleidingen.
Als het niveau tenminste niet te hoog gegrepen is.

http://pascal.nedlinux.nl Niet tegenspreken, Ik heb altijd gelijk !
GJ_

Moderator

Op 5 juli 2006 12:27:23 schreef Capt501:...Lijkt me erg interesant voor technische opleidingen.
Als het niveau tenminste niet te hoog gegrepen is.

buiten Limburg zijn ook nog technische opleidingen hoor ;-)

Misschien als een idee: dat LED klokje elk hoofstuk weer terug laten komen, met de opbouw in de logica, b.v. de BCD/7 segments decoder in de McClusky, de counters in de uitleg over de counters e.d. zodat "de beginnende" electronicus de continuiteit in het boek terugvindt. Om de USB interface elke keer terug te laten komen lijkt me een grotere uitdaging...

carpe cerevisi

Xilinx ISE webPack (== gratis!) is er zowel voor windows als linux. De altera Quartus II Web Edition is dacht ik alleen gratis voor windows. Voor de andere OS'en moet je geloof ik betalen.

ooit lang lang gelden iets met fpga gedaan in AHDL. maar inderdaad het omschakelen van denken tussen schrijven voor een proccesor of een fpga is het lastigste

free_electron

Silicon Member

Op 5 juli 2006 08:47:02 schreef surge_me:
[...]

waarom gebruik je geen max3000A, die heb je al in een 44 pins tqfp en is dus voor iedereen makkelijk te solderen (wat niet geld voor die 100 pins MAXII dingen.
[...]

tkan zijn dat ik nog voor een PLCC verpakking ga. dan kan ie een voetje. ik moet beetje kijken naar de prijs van die dingetjes voor ik beslis.

ff mieren n**ken tzijn drie knopen 1 om te compilen en een om de programmer op te roepen en 1 om de programmer te zeggen dat i moet programeren.

Quartus 6 met scripting ge-enabled en ene custom scriptje :p : 1 knopje

Das niet waar ze hebben dr vaak niet eens van gehoord,

conrad ? reichelt ? de onderdelen specialist ? Voti by all means ? en dan is er nog altijd digikey .

Wat ik nog wel zou willen weten is hoe Quartus omgaat met een Jtag chain, Herkent Quartus dan de verschillende componenten (ook als ze niet door Altera gemaakt zijn?)

En zijn er programmatjes waar mee je die FPGA's kunt programeren buiten Quartus om?

Een (begin) cursus VHDL en Verilog zou ook niet verkeerd zijn...

jep. chain is geen probleem. das eigen aan jtag. ook voor niet altera's. wij dien dat dagelijks. Altera , arm en een paar van de onze in chain. no problemo.

Jam player of Stapl. en die zijn ook gratis. daarmee kan je 'flashen'

Verilog en VHDL worden behandeld in het boek. en das inderdaad een basiscursus die daar in zit.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 5 juli 2006 09:15:09 schreef Nordin:
Hoi F_E,

Ik zal je wat voorbeelden geven waarvan ik vind die in een GOED boek horen. Want heleboel boeken komen met voorbeelden waar je niet veel aan hebt, om maar te zwijgen over Elektuurboeken. Maar ik kom straks, denk ik in de avond, op terug. Want dit wil ik niet missen ;)

laat maar komen. Ik heb ook ene gloeinde hekel aan boeken met nietszeggende voorbeelden. boeken over programmeren bijvoorbeeld. das altijd een programamtje om je boekencollectie bij te houden wat ze daar als voorbeeld gebruiken.

Om een tipje van de sluier te lichten.
in het hoofdstuk over het maken van combinatorische logica heb ik het over encoders en decoders. het eerste voorbeeld daar is al direct een toetsenbord encoder ( iets wat je veel nodig hebt ) en de decoder is een 7 segment sturing.

ook geen 500 bladzijden met karnaugh en andere 'ouden brol' Kanraugh wordt behandeld en in detail , maar geen kat gebruikt dat echt om systemen mee te ontwerpen. ik belicht dan ook andere technieken : matrix logica , schuiven van invertoren, de schrappen wat niet past ( dit zijn zelf verzonnen benamingen hoor. die technieken hebben niet echt een officiele naam maar worden heel veel gebruikt in praktijk. ( toch waar ik werk :p )

daarmee is dan ook meteen de basis gelegd voorde overstap naar CPLD en FPGA want die systemen berusten op het princiepe van matrix logica. en de synthesizer doet tijdens het uitwerken vna logica niets anders dan invertoren 'pushen' en 'schrappen wat niet past'. geeft je meteen een inzicht hoe dat in zijn werk gaat ook.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 5 juli 2006 08:30:56 schreef GJ_:
Ik vond die PAL's en MACH's prima! Bovendien waren er ook niet veel alternatieven. Ik werkte met PALasm van AMD en dat was zeker niet duur: de AMD vertegenwoordiging is dat gratis komen brengen in ruil voor een kop koffie. De programmer kostte wel wat meer maar daar kon je dan ook alle soorten programmeerbare IC's in programmeren/copieren.

Ondanks je bedenkelijke kijk op deze deviesjes beloof ik dat ik verwachtingsvol en vol ongeduld zal uitkijken naar de verschijning van je boek.

ik heb daar ook mee gewerkt en die dingen zijn inderdaad fijn. maar voor de hobbyist waren ze niet echt toegankelijk. speciale programmers en dergelijke. pals die maar 1 keer te programmeren vallen. de moderne Altera / xilinx / Quicklogic/ Lattice die via jtag ( vier weerstanden aan een printerpoort ) de programmeren vallen zijn veel gebruiksvriendleijker en de opstapdrempel is vele lager. bovendien zijnde tools veel gerbuiksvriendleijker en krachtiger.
in palasm moest je al je vergelijkingen zelf uitschrijven en voor de helft minimaliseren.
met quartus heb jhe een schematekenpakket. laad de 74xx bibliotheek en GO !. ( dat kon met palasm ook mits je ene koppeling had naar Viewdraw en viewlogic maar dat was $$$$$$ )

das wat ik bedoelde met dat 'free-isme'

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

@F_E: ik zou als ik jou was, met het oog op de toekomst, zeker het onderwerp USB uitvoerig bespreken. iedereen wilt wel interfacen met zijn pc, maar ziet vaak door de bomen het bos niet meer.

verder: mijn complimenten, dat je hier de tijd voor weet te vinden. of heb jij 32 uur in een dag zitten, zoja, laat me weten hoe ik er aan kan komen ;)

IF you can't convince them, then confuse them!
free_electron

Silicon Member

Op 5 juli 2006 09:53:53 schreef Lexy:
Ik merk dat ik zaken al gauw op een functionele programeertaal achtige manier wil oplossen, terwijl in verilog het heel anders moet.
- meerdere always of alles in 1 alway
- hoe bepaal je de sensitivity lijst van een always
- hoe pak je grote projekten aan met meerdere modules.
Heb zelf het boek van Palnitkar.

ik heb die ook. die is nie tmis maar dat gaat meer over synthese naar ASIc toe. en veel van die technieken kan je NIET gebruiken in FPGA !. ik heb een ander ( naam post ik vanavond wel ) wat specifiek voor FGPA is. dat bevat wel veel voorbeelden.

voor VHDL is die Groene van Michael .... dingske een zeer goede.

voor verilog gebruik ik verilog 2001 syntax. daar is veel van die sensitivity miserie opgelost.

programmeren van fpga is een radikaal eandere denkwijze dan ene processor. een cpu doet alles volgens een lijstje . in ene fpga gebeurt alles terzelfdertijd.

comment is genoteerd. kzal er aandacht aan schenken. Let wel dit boek is geen cursus 'expert verilog of vhdl schrijver'. daar zijn andere goede boeken voor. dit is een 'teaser' voor die technologie.

De altera cyclone II 208-PQFP heeft digikey wel.

En tja, als je wil gaan werken met CLPD/FPGA's dan ontkom je niet aan SMD, punt. Maar met een goede bout en een vaste hand lukt het prima.

Voor in het boek zou ik ook een soort basis systeempje willen zien waarin een simple FPGA zit met een voeding en een USB (FTDI?) interfaceje. Wat erg handig is voor een experimenteer systeem is een programeerbare clock. Voor de rest zou ik alle pinnen via headers naar buiten voeren.

alle alteras kan je kopen bij digigikey. Kwatongen beweren dat xilinx vele van dat 'klein grut' aan het elimineren is. ze willen graag hun grote Virtexen verkopen en daar de fabs mee vullen.

SMd tjah inderdaad.

simpel bordje zou inderdaad een FPGA zijn met 4 7 segmentjes, een ftdi245 eraan. alle andere io op headers. voedingkje. prikbare xtal oscillator ( de MAX-II heeft ook een PLL ) paar druktoetsjes , paar losse ledjes. en een paar 'standaard' connecotren zoas een lcd display en dergelijke.

enfin we zien wel.
ik dacht aan iets ter grootte van half eurokaartje ( 10 bij 8 centimeter ). ik probeer het enkelijzdig ( met wat draadbruggen ) te houden

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 5 juli 2006 10:08:05 schreef bibib:
Een paar hoofdstukken over code verificatie, (co)-simulatie/testbenches en het genereren van testpatronen, lijkt me ook een pluspunt voor je boek.

Maar misschien wordt het boek dan een beetje te dik ;-)

si,ulatiemet quartus wordt belicht
maar generen van vhdl en verilog testbenchen gaat te ver. dan heb je specialistisch literaturr nodig.

Dit boek mikt vooral op de mensne die er nog nooit mnee gewerkt hebben ( daarvoor zijn die andere boeken veel de 'zware' lectuur ) en die de overstap van 74xx naar cpld/fpga willen maken .

ik kan ide zaken wel eens aanhalen en eventueel 1 voorbeeld geven. maar over genratie van testbenchen is zoveel te vertellen. vooral de tools zoals Psecman en dergelijke. daar kan je kasten met boeken mee vullen

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

op school hebben we zo kennis gemaakt met de max7000 (of ongeveer zo iets)
programma was gewoon max plus II
heden ten dage vervangen door quartus denk ik.
in ieder geval is leuk bordje. zaten 2 4 segments op wat leds paar headers en voedingje en een 8mHz kristal.
hebben we niet veel meer gedaan als standaard logica aan elkaar knopen en laat het programma maar doen :)
super goed programma dat wel. byteblaster er aan en gaan :)
(alleen stom dat ze tegenwoordig op geen enkele laptop nog een lpt poort zetten :( ).
miss een crazy idee maar komt van het examen van digitaal bij ons.
moesten een breakout game maken met digitale logica. dit moest op een led matrix. (wel theoretisch)
miss is dit wel doenbaar in zo'n fpga. lijkt me ook leuk. een of ander klein spel dus.

[Bericht gewijzigd door beertje_01 op woensdag 5 juli 2006 18:12:44

My Tube is bigger then yours
free_electron

Silicon Member

Op 5 juli 2006 12:27:23 schreef Capt501:
Het schijnt zo te zijn dat er voor fpga's maar ook voor een aantal minder exorbitante zaken maar erg weinig software bestaat die op een OpenSource (lees gerust UNIX achtige) Platforms draaien.
Zou je welicht eens kunnen uitdiepen hoe dat probleem te omzeilen valt.

Ook is het welicht interesant om aan te stippen hoe je voor moderne digitale technologie nog een PCB kunt ontwerpen en (laten) produceren.

Als het niveau tenminste niet te hoog gegrepen is.

eerst de laatste dinges :
pcb komt aan bod voor zowel normaal spul als fpga.
nievaue begint vanaf nul. je hoeft niet eens te weten wat een and poort is. op het einde van het boek ben je instaat een logisch systeem te ontwerpen , simuleren , verifireren en dat in een fpga te blazen.

open source tools: dat kan je vergeten. die tools bestaan voor Unix ( Solaris en Linux ) maar zijn NIET open source en wel om de volgende simpele reden :

een van de belangrijke zaken is IP en de protectie van het design tegen reverse engineering en kopieren. De fusemappers spelen daarin een kritische rol. als je dat open source gaat maken dan valt 50% van de beveiliging uit. iedereen kan dan immers uitvlooein hoe dat werkt.

een tweede punt is de complexiteit om een VHDL synhesizer of Verilog synthesizer te maken. dat is verschrikkelijk complexe code waar maar een paar personen op de wereld zich mee besighouden ( synopsis, cadence , Mentor , altera ). Een synthesizer is een magnitude complexer dan bijvoorbeeld een compiler maken...

dus open source kan je schudden. quartus bestaat wel voor Linux en is ook gratis te downloaden. dus daar is je probleem opgelost.

En dat techneuten graag met linux werken ... wij hebben hier in dit centrum welgeteld 1 linux machiene die een cvs servertje loopt waar 1 persoon een beetje mee 'speelt'. Al de rest is WinXp/intel of Solaris/Sun

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 5 juli 2006 12:32:10 schreef LaStei:
Misschien als een idee: dat LED klokje elk hoofstuk weer terug laten komen, met de opbouw in de logica, b.v. de BCD/7 segments decoder in de McClusky, de counters in de uitleg over de counters e.d. zodat "de beginnende" electronicus de continuiteit in het boek terugvindt. Om de USB interface elke keer terug te laten komen lijkt me een grotere uitdaging...

dat doe ik ook.
alle blokken en voorbeelden zijn kant en klar om later samen te laten komen tot die klok.

die usb uibreiding is het allerlaatste. de klok genereert dan eidere seconden een tijd indicatie die naar usb gestuurd wordt . dat wordt gebruikt als voorbeeld hoe je een design kan re-usen . we gaan in die stap de 7 segment decoder en de scanning logica vervangen door die usb poort.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 5 juli 2006 17:57:16 schreef Jeroen Boere:
@F_E: ik zou als ik jou was, met het oog op de toekomst, zeker het onderwerp USB uitvoerig bespreken. iedereen wilt wel interfacen met zijn pc, maar ziet vaak door de bomen het bos niet meer.

verder: mijn complimenten, dat je hier de tijd voor weet te vinden. of heb jij 32 uur in een dag zitten, zoja, laat me weten hoe ik er aan kan komen ;)

ik heb mezelf via mijn tijdmachiene mijzelf een aantal keren 'geclowned' .. maar dat heb ik al eens uitgelegd :p

usb komt serieus aan bod. ik overweeg om zelfs een ftdi2232 te gebruikne. kwestie van bus emulatie te kunnen doen. kan je later dat bordje als data acquisitie systeempje gebruiken.
eventueel stukje code erop op klogic analysertje mee te maken .... voel je het al komen ...

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM