Oproep : Van 0 en 1 tot FPGA

Schop,

Is het boek al af of is de inkt op?

Wat gaat 'ie kosten? (grofweg)

If you want to succeed, double your failure rate.

Ik gok ongeveer 48€ (incl verzending), zoveel kost zijn boek van VB, ik neem aan dat ie niet ineens meer royalty's wil en dergerlijke. Dikte van het boek kan wel wat schelen, maar dat kost zoveel niet, eenmaal ze bezig zijn met drukken (op lulu.com kan je zelfs boeken per stuk bestellen, worden op bestelling gedrukt, en dat kost ook niet zoveel hoor, in hoeveelheden is dat nog een ferme slag goedkoper).

AKA Naftebakje @Tweakers.net --- Zonder dwarsliggers geen spoor
free_electron

Silicon Member

ik heb hem al gezien jha. hij ligt voor mijn neus. is ongeveer halfweg klaar.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

@free_electron : Boek is soort bouwpakket ? :+ Ik ben benieuwd. In de herfst zijn mijn hobby ruimtes in huis ook weer bruikbaar en dan ga ik me weer wat meer bezig houden met electronica. Als je proeflezers nodig hebt houd ik me aanbevolen.

Ik moet wel eerst Quartus even op nieuw installeren waarschijnlijk. De laptop waar het op stond heeft een gecrashte HD. Ik weet niet hoe moeilijk Altera doet met meerdere licenties op 1 Naam ?

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

Op 15 september 2006 19:21:40 schreef jovak:

Ik weet niet hoe moeilijk Altera doet met meerdere licenties op 1 Naam ?

Totaal niet, ze verlopen na een jaar toch weer, moet je het weer verversen (als je het gedurende dat jaar niet gebruikt hebt) Het is alleen dat je een licentie nodig hebt zodat zij zien hoeveel en wie er gebruik maken van hun software (en natuurlijk om verschillende delen van quartus af te schermen voor niet betalende gebruikers, dat zijn alleen de delen die je nodig hebt als je met heeeeeeele grote FPGA's gaat werken).

[Bericht gewijzigd door surge_me op vrijdag 15 september 2006 19:25:41

Op 15 september 2006 19:23:49 schreef surge_me:
[...]

Totaal niet, ze verlopen na een jaar toch weer, moet je het weer verversen (als je het gedurende dat jaar niet gebruikt hebt) Het is alleen dat je een licentie nodig hebt zodat zij zien hoeveel en wie er gebruik maken van hun software (en natuurlijk om verschillende delen van quartus af te schermen voor niet betalende gebruikers, dat zijn alleen de delen die je nodig hebt als je met heeeeeeele grote FPGA's gaat werken).

Heh Ik wou net een Flex 10K100 gaan gebruiken :+
Voorlopig zal het bij mij vooral de 7000 serie zijn.

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

Op 15 september 2006 19:30:12 schreef jovak:

Heh Ik wou net een Flex 10K100 gaan gebruiken
Voorlopig zal het bij mij vooral de 7000 serie zijn.

Waarom 7000 die is alleen maar duur gebruik gewoon een maxII ofzo, stuk groter, goedkoper en het lijkt meer op een FPGA (tis nog wel steeds een CPLD maar er zitten ook PLL e.d. features in die ook bijna standaard in een FPGA zitten.)

Verkrijgbaarheid.

7000 kan ik bij conrad bestellen. Anders moet ik bij andere bedrijven gaan bestellen als digikey, farnell en zit ik met orderkosten die het veel voudige zijn van een FPGA.

Verder kan ik me kennis van AHDL en zo prima weer op peil brengen met een CPLD. Als ik daar weer een beetje op los ben is het vroeg genoeg om weer eens naar FPGA's te gaan kijken.

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.
free_electron

Silicon Member

vergeet ahdl en stap over naar verilog.

verilog is heel eenvoudig om mee te werken en in ene vloek en een zucht heb je ene compleet systeem klaar.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op 16 september 2006 00:46:15 schreef free_electron:
vergeet ahdl en stap over naar verilog.

verilog is heel eenvoudig om mee te werken en in ene vloek en een zucht heb je ene compleet systeem klaar.

Zal daar eens naar kijken. Ik heb me afstudeer project in AHDL gemaakt destijds. Dus makkelijkste dacht ik om weer mee inte stappen als ik met CPLD of FPGA wou beginnen.

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.
free_electron

Silicon Member

Op 16 september 2006 18:31:54 schreef jovak:
[...]

Zal daar eens naar kijken. Ik heb me afstudeer project in AHDL gemaakt destijds. Dus makkelijkste dacht ik om weer mee inte stappen als ik met CPLD of FPGA wou beginnen.

verilog is heel gelijkaardig aan ahdl

vhdl is ene stuk moeilijker ( en geweldig contraproductief )

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

VHDL had ik tijdens mijn eerste kennismaking op de HTS al een hekel aan ;-)

AHDL was al vriendelijker. Eigenlijk mede door de geintegreerde ontwikkel omgeving van MAXplus software. Simulatie was een stuk makkelijker :)

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.
free_electron

Silicon Member

ik vind het ook ene onding. veel te veel 'tralala' vooraleer je er iets mee aand epraat krijgt.
hier in 'the valley' is alles verilog. zelfs intel en amd draaien exclusief op verilog

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

En ik altijd maar horen dat "iedereen" VHDL zou gebruiken :).

Op 17 september 2006 08:18:49 schreef Yodar:
En ik altijd maar horen dat "iedereen" VHDL zou gebruiken :).

In europa gebruikt iedereen (althans heel veel) VHDL het is inderdaad strikter dan verilog en in sommige product categorien is dat contraproductief. (je horloge/telefoon/mp3 speler mag best wel eens vastlopen/iets anders doen dan werkelijk de bedoeling is)

In de andere vorkomt het grote ongelukken (in een vliegtuig/kern reactor/militaire applicatie wil je geen vastlopers hebben en wil je niet dat de synthesizer iets anders van je code maakt dan dat jij bedoelt hebt..)

free_electron

Silicon Member

Op 17 september 2006 08:18:49 schreef Yodar:
En ik altijd maar horen dat "iedereen" VHDL zou gebruiken :).

tjah. europa he...

de VS is haast vor 99% verilog. als je hier in the valley met vhdl afkomt lachen ze eens ...

der is ooit een test gedaan.... 5 vhdl guru's tegen 5 verilog guru's. maak een klok in half uur tijd.

alle 5 veriloggers hadden code klaar die 100% synthetiseerde en correct werkte.
van de vhdllers was er 1tje die de boel gesynthetiseerd kreeg , en het werkte dan nog niet correct in plaats van bij 59 naar 00 te springen gebeurde het pas bij 99...

de andere 4 vhdllers hadden NIKS wat ook maar compileerde. 2 hadden zelfs hun eerste simulatie nog niet draaiende.

vhd is goedvoor projecten die geweldig complex zijn en waar met 50 man gestructureerd moet o gewerkt worden. het forceert je om gestuctureerd te werken.

verilog is veel vrijer. je kan gestructureerd werken maar het hoeft niet.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Dus als ik je goed begrijp, wanneer iemand zich verdiept in verilog en de discipline heeft om gestructureerd te werken dan is verilog vaak de beste keus?

Yep. Zeker als je geen rekening met je omgeving hoeft te houden (zoals werk of studie) zou ik voor Verilog gaan.

Dat zijn de antwoorden die ik graag hoor. Ik heb is met VHDL gewerkt en vond dat niet echt lekker. Voortaan wil ik verilog gebruiken maar leefde met het idee dat het niet verstandig zou zijn.

Bij deze is dat idee weg genomen.

Op 17 september 2006 23:42:39 schreef free_electron:

tjah. europa he...

Hier denken ze waarschijnlijk hetzelfde over de VS :-D

maar euh... ik ben een noob m.b.t. HDL
Maar als ik zo bij http://en.wikipedia.org/wiki/Verilog kijk.
Moet ik opmerken dat het wel verdacht veel op C lijkt.
Dat geldt overigens ook voor VHDL, wat zijn dan precies de grote verschillen?

Technology is dominated by two types of people: those who understand what they do not manage and those who manage what they do not understand

Heb even snel 2 voorbeeldjes uit de xilinx getting started gecopieerd die allebei het zelfde doen (4 bits counter):
VHDL:

code:


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter is
  Port ( CLOCK : in STD_LOGIC;
    DIRECTION : in STD_LOGIC;
    COUNT_OUT : out STD_LOGIC_VECTOR (3 downto 0));
end counter;

architecture Behavioral of counter is
signal count_int : std_logic_vector(3 downto 0) := "0000";
begin
process (CLOCK)
begin
  if CLOCK='1' and CLOCK'event then
    if DIRECTION='1' then
      count_int <= count_int + 1;
    else
      count_int <= count_int - 1;
    end if;
  end if;
end process;
COUNT_OUT <= count_int;
end Behavioral;

Verilog:

code:


module counter(CLOCK, DIRECTION, COUNT_OUT);
input CLOCK;
input DIRECTION;
output [3:0] COUNT_OUT;

reg [3:0] count_int = 0;
  always @(posedge CLOCK)
    if (DIRECTION)
      count_int <= count_int + 1;
    else
      count_int <= count_int - 1;
    assign COUNT_OUT = count_int;
endmodule

Het grote verschil zit hem in (zover ik weet) dat VHDL een veel formelere taal is, i.e. je moet alles expliciet opschrijven. VHDL staat ook iets dichter bij de hardware. Verilog is is vrijer en heeft een iets hoger abstractie niveau.

free_electron

Silicon Member

en dat is dan nog oude verilog code. als je de verilog2001 syntax gebruikt hoeft al die zever na module counter ook nietr meer
de compiler pikt dat op uit de in en out definities
das weer een stapel potentiele tikfouten en syntax fouten geelimineerd

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Goh net het picbasic en asm verhaal:9

Een atx voeding is geen labvoeding, en je kunt er geen auto mee starten ook