Oproep : Van 0 en 1 tot FPGA

Free kan ik de voorbeelden uit jou boek ook doen met het FPGA board van Elektuur.

[Bericht gewijzigd door Switching Power op donderdag 12 oktober 2006 22:36:53

Hobby, maar sample met mate. | BumbleBee plus pack | Weerstand calculator voor je PSP

Oja bijna vergeten hoe ver is het boek nu al.

Hobby, maar sample met mate. | BumbleBee plus pack | Weerstand calculator voor je PSP
free_electron

Silicon Member

jep. de voorbeelden draaien ook op het elektuur fpga bord ( ik heb er ondertussen eentje liggen )

de klok is dan wel beperkt tot 4 digits natuurlijk )

ik ben nog volop aant schrijven. ik heb nog een paar maanden werk hoor.
een boek van weer dik 450 bladzijden schrijf je niet in 1.2.3...

[Bericht gewijzigd door free_electron op donderdag 12 oktober 2006 23:52:52

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Heb onlangs uwe VB boek gekocht via Segment. Tziet er (zoals Belgen dat zeggen) gràve uit.
Daar zal ik ook nog eens moeten aan beginnen. Maar ik denk dat jou FPGA boek eerder geschreven zal zijn dan dat ik deze uitgelezen heb. Kheb weeral zo weinig tijd tegenwoordig hé.
Dus neem vooral uwe tijd om te schrijven.

- - big bang - -

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten

Dan heb ik nog een paar maanden de tijd om voor dat FPGA bord van Elektuur te sparen :9

Hobby, maar sample met mate. | BumbleBee plus pack | Weerstand calculator voor je PSP

Free, nog eens een suggestie als ik mag.
Gaat uw volgende uitgave in Hardcover zijn? Of hangt dat van de uitgever af?
Ik moet zeggen (eigen mening), hardcovers hebben niet alleen veel meer klasse dan een boek met paperbackkaft, zijn ook veel steviger, liggen beter in de hand en ze gaan langer mee, kan ze niet kreuken, ze blijven beter openliggen,....ja ik ben aan't zagen.

Maar heel m'n verzameling boekjes is in optima forma, en dat willen we graag zo houden want ik hecht er veel waarde aan.
Trouwens, dat VBboek zag er op de cover flashy uit! Ben benieuwd naar de high tech achtergrond van het FPGAboek!

- - big bang - -

edit: voor de niet verstaanders:
Hardcover is een harde kaft rond het boek
Paperback is een dunne kartonnen kaft

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten
free_electron

Silicon Member

dat hangt van de uitgever af.

De nieuwe cover wordt inderdaad weer 'flashy' ...
inplaats van blauw wordt ie rood.

timingdiagrammas , karnaugh kaarten , stukken verilog en vhdl code , schemas vanuit quartus , en een geweldige microscoopfoto van een stuk silicium

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op 13 oktober 2006 23:32:42 schreef free_electron:
dat hangt van de uitgever af.

Hmm, kunde gij eens geen goei woordje doen? Zou tof zijn.

- - big bang - -

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten

Ik zit nu een beetje met quartus te rommelen en je kan je ontwerp ook tekenen (als schema dus).
Misschien is het een idee in het begin van het boek waar de poortjes worden uitgelegd ook de schema's voor quartus uitteleggen zodat je ze gelijk kan uitproberen op het bord voor die gene die niet kunnen wachten iets werkent te hebben.

Hobby, maar sample met mate. | BumbleBee plus pack | Weerstand calculator voor je PSP
free_electron

Silicon Member

dat is wat er ook gebeurd.
hoofdstuk 16 leegt 'quick en dirty' uit hoe je met quartus omgaat : project aanmaken , componentne plaatsen,verplaatsen verbinden herverbinden , synthetisern en simulatievectoren aanmkaen

voor diegene die niet kunne wachten : lees eerst hoofdstuk 16. en begin dan vanvoor te lezen

voor iedere basisschakeling die uitgelegd wordt staan de quartus schema's in het boek. dus kan je gelijk aan de slag.
en tegen dat je 2 hoofdstukken ver bent en 3 oefeningen gedaan hebt zit quartus je in de vingers.

nog eens : dit is een 'hands-on' boek. geen droge theorie en 500 bladzijden met voorbijgestreefde en nutteloze of compleet achterhaald karnaugh kaarten.
ook geen 500 bladzijden met hersenkronkels om de meest compacte , reeds geminimaliseerde logica te maken met minst ttl ictjes.

maar wel recht voor zen raap electronica. het steekt niet nauw hoeveel ic's we moeten instantieren als de boel maar sneller kan ontworpen worden en eenvoudiger te begrijpen valt. de minimalisatie doet de synthesiszer in quartus. daar dient die tikbak op je bureau trouwnes voor : al het 'apewerk' om logische vergelijkingen te minimaliseren.

tijdens de uitleg van de basiscircuits wordt wel even in detail ingegaan hoe bepaalde dingen werken , hoe ze in het echt geimplementeerd worden (bereidt je voor op een schok als je gaat lezen hoe een flipflop echt in elkaar steekt .... vergeet die zever met de twee nand of nor poorten ... en zeker bij heel complexe flipflops. das de 'theorie'. in de praktijk zit dat heeel anders in elkaar)

ik leg bijvoorbeeld in detail uit hoe een decoder en encoder werkt. mooi om te zien en het legt een goede basis om combinatorische circuits te bouwen. daarna gaan we mujltiplexers maken gebaseerd op die decoders.

en vanaf dan worden er geen waarheidstabellen meer om gezet in formulekes , ggeminimaliseerd en omgezet in losse poorten. een logische vergelijking maken ? pak een multiplexer en strap ingangen aan grond en voeding en go! ( zo wordt het ook gedaan fysiek in de fpga ). de synthesiser doet dan 'schrappen wat niet past of overbodig is ' en mapt dat mooi opde hardware.

het voordeel van die aanpak is dat je circuit een constante doorlooptijd heeft. een grote klets combinatorische logica kan race condities krijgen. als je dat oplost met een multiplexer ben je van de truut vanaf.

opgelet. al dien theorie wordt OOK uitgelegd , inclusief karnaugh en demorgan en quine mcklusky.
ditto hoe je poortschemas kan minimaliseren. het blijft nuttige 'background' . maar op een bepaald punt stopt dat en ga ik over naar een 'praktische' aanpak. De focus verschuift naar : het probleem analyhseren , in stukken kappen en een blok logica in elkaar zetten die het probleem oplost. daarna voegen we de boel samen en hebben we (hopelijk) een werkend circuit.

vanuit dat oogpunt ook ga ik verilog en vhdl uitleggen.
AHDL wordt ook even aangehaald. das de intenre taal vana altera. het is razend eenvoudig om daar bijvoorbeeld een waarheidstabel in te stampen. een 7 segment decoder maken ? of een 7x5 matrix tabel ? kinderspel. duw dat erin en laat quartus de boel minimaliseren en synthetiseren.
in 1.2.3 staat daar een mooi schemasymbooltje met de achterliggende code. een van de eerste blokken die we maken is een display engine. 4 bit data erin samen met een 2 bit address en een clock lijn. je schrijft het gewenste teken in het geheugen en de scanning engine doet de rest ( de displays op zowel het elektuur bord als mijn bord zijn gemulitplext. dus moeten we daar eerst ' overheen' das veel te moeilijk om telkens uit te vlooien. ik maak dus gewoon een universsel blok waar ik getalletjes kan inzetten. en klaar. enfin je ziet het allemaal wel als het boek af is

[Bericht gewijzigd door free_electron op maandag 16 oktober 2006 16:14:37

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Klink goed, als je nog proeflezers nodig hebt meld ik me bij deze daarvoor aan. Dit boek ga ik kopen zodra het mogelijk is :)

Hobby, maar sample met mate. | BumbleBee plus pack | Weerstand calculator voor je PSP

vind je in boek ook een beetje bescheidenheid :-)?

Mama look, -a-booboo
free_electron

Silicon Member

Op 16 oktober 2006 18:41:15 schreef jongensenwetenschap:
vind je boek ook een beetje bescheidenheid :-)?

en waar is dat dan goed voor ? af en toe moet er eens ene langskomen die het 'establishment' een shot tegen hun gat geeft :p

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Even een schopje! Hoe staat het ermee? :P

Ik zie dingen die je nooit voor mogelijk hield. Ik maak ze mogelijk. Ik kan je pijn en lijden laten zien. In tegenslag vind ik hoop, in de verhalen die ik hoor inspiratie. Ik kan je veel vertellen. Wat ik doe? Ik ontdek. Mijn wereld is jouw wereld.

Volgens mij is hij aan't schrijven dat de stukken tot bij de medebewoners vliegen...

- - big bang - -

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten

Wel goed nieuws voor de verkrijgbaarheid van Altera ICs: blijkbaar heeft digikey NL (!) recentelijk Altera CPLD's en FPGA's aan het assortiment toegevoegd. MAX II, Cyclone I en II, config roms alles staat er tussen.

Eerst kon je dit als particulier alleen bij buyaltera.com kopen wat in feite gewoon digikey is maar dan met veel hogere verzendkosten (voor een slechtere postservice) en alleen altera spullen. In Nederland heb je wel EBV maar dat is niet voor particulieren en Farnell heeft volgens mij ook maar een hele beperkte set. Digikey US had alles al online maar als je daar als nederlander wil bestellen krijg je bij de checkout een melding dat dat niet mag van Altera.

Ze hebben ook FTDI chipjes nu (wel uitverkocht), hadden ze eerst ook niet.

free_electron

Silicon Member

ik heb nog een dikke 3 maand werk aan dat boek hoor.

zoiets schrijf je niet in 1.2.3

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Werkelijk... Ik dacht dat jij dat even op een avond in je computer klopte... >:-)

Ik kijk ook naar het boek uit trouwens, weer wat kennis opfrissen met wat er nu weer mogelijk is..

[Bericht gewijzigd door LaStei op dinsdag 7 november 2006 22:51:48

carpe cerevisi

Op 7 november 2006 22:49:37 schreef free_electron:
ik heb nog een dikke 3 maand werk aan dat boek hoor.

zoiets schrijf je niet in 1.2.3

Heb ik gelukkig nog even tijd om het andere boek te lezen... vandaag het plastiek er van afgehaald... Nu op het werk rustig doorlezen :+

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

Ik dacht dat ik dat eerste boek al in dit topic voorbij had zien komen maar kon het niet meer terug vinden. Wat is de titel/ isbn van het eerste boek?

Op 7 november 2006 23:14:13 schreef Yodar:
Ik dacht dat ik dat eerste boek al in dit topic voorbij had zien komen maar kon het niet meer terug vinden. Wat is de titel/ isbn van het eerste boek?

http://www.circuitsonline.net/news/view/86

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

Op 7 november 2006 22:49:37 schreef free_electron:
ik heb nog een dikke 3 maand werk aan dat boek hoor.

zoiets schrijf je niet in 1.2.3

Van 0 en 1 to FPGA in 1.2.3 of FPGA for Dummies... ;)

Effe serieus, kijk er ook naar uit, een complete opfrissing kan inderdaad nooit kwaad, zeker als er fijne kneepjes in vermeld worden. Dat maakt het apart.

Zeg Free, ik ben misschien héél voorbarig hé, maar heb je misschien de ambitie om daarna nog over andere zaken te gaan schrijven en publiceren? Zo ja, kan je een tipje van de sluier oplichten?

En ik ga toch nog maar eens zagen voor een hardcover indien mogelijk hé...:)

- - big bang - -

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten
free_electron

Silicon Member

kzal ne keer shotten bij elektuur voor hardcover.

en andere dinges . tjah der is nog zovele om over te schrijven he.
ik denk aan een kookboek : 101 recepten uit het lab :p
of 'panklare electronica'

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op www.lulu.com kan je je eigen boeken publiceren, je hebt er dus elektuur niet bij nodig, kost mss wel iets meer per boek, maar een oplage van 0 tot oneindig, kies zelf hoeveel je als schrijver wil verdienen, geen startkost, hardcover of paperback in zw of kleur kan je allemaal opgeven voor dezelfde inhoud van het boek,...
Eventueel elektuur de paperback-versie laten maken, en een hardcover versie op lulu zetten (degenen die dat willen, kunnen er dan een kopen, ook al kost het ze wat meer), als je natuurlijk de rechten bij jezelf houd. Of je kan ook hiermee dreigen, om sterker te staan in de onderhandelingen...

AKA Naftebakje @Tweakers.net --- Zonder dwarsliggers geen spoor

Op 8 november 2006 22:47:30 schreef free_electron:
kzal ne keer shotten bij elektuur voor hardcover.

en andere dinges . tjah der is nog zovele om over te schrijven he.
ik denk aan een kookboek : 101 recepten uit het lab :p
of 'panklare electronica'

Mercikes.
Panklare e-recepten, ook lekker voor in de reflow oven...:p)
Of een autobiografie over het life in 'the valley'...
Als je geen onderwerpen meer moest hebben, we kunnen altijd in een daarvoor opgezette thread wel helpen hoor!

- - big bang - -

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten