Oproep : Van 0 en 1 tot FPGA

free_electron

Silicon Member

ik heb al dik 150 bladzijden. ben bijna halfweg. schat dat ie 350 baldzijden wordt

de reden achter het klokje is omdat er allerhande spul gebruikt worde :
encoders, decoders, tellers ( synchrone met asyncrone set en reset ) losse logica
een multiplexer met scanlogica om het display aan te sturen. alsook een multiplexer om het alarm te zetten
een debouncer circuit voor de drukknoppen ( ja ook dat gaan we leren digitaal maken. weg met die R/c debouncer rommel )

een comparator om huidige tijd met alarmtijd te vergelijken.
een downcounter die alarm duur aftelt met snooze functie om die counter via een ADDER op te hogen. ( 3 keer duwen is 3 minuten snooze , 5 x duwen is 5 minuten snooze enzoverder tot 59 minuten snooze )

een statemachiene die elke seconde de tijdstand over een usb poort nar buiten jaagt in ascii formaat ( transcoder logica .

dit leek me het enige eenvoudig begrijpbaar circuit waarin toch alle logagische grondschakelinge aan bod komen. EN je kan het ook met losse TTL maken. ( tzijn er wel veel dan.... )

der komen aan het einde wel een paar complexe circuits

Dat klokje is niet het einddoel. het is ene middel om alle blokken ui tte leggen en te tonen hoe je met de blokjes tot een circuti komt wat iets doet.
ik kon evengoed een viterbi encoder/decoder gebruikt hebben als voorbeeld. daar zit ook alles in . maar voor iemand die begint met digitale technieken spreekt dat niet aan. wat moet je in godsnaam met zo een ding ?

dat klokje is een begrijpbaar geheel. iedereen weet dat er 24 uur zijn , AM/PM , een alaramtijd. met andere woorden het 'gegeven' is heel duidelijk. als ik eerst moet uitleggen wat een viterbi encoder/decoder doet en geen kat dat begrijpt dan wordt het verschrikkelijk moeilijk er ene te ontwerpen.

das zoals leren programmeren en het voorbeeld wat aangehaald wordt is een boekhoudprogramma met analyse. als je op gene honder uren weet hoe boekhouden werkt dan heb je dikke pech natuurlijk. de helft van wat uitgelegd wordt snap je niet ... en das wat ik wil vermijden.

Aan het einde van het boek ben je klaar om echte dingen te maken. ( en je hebt een bureauklokje bij )
de cpld is groot genoeg om er andere zaken mee te doen.
je zou evenetueel tekens kunnen binnelezen van usb en die op het 7 segment display zetten...

ik zal eens nadenken over ene paar andere leuke 'speeltjes'

ten ware er iemand een interessanter idee heeft ?

[Bericht gewijzigd door free_electron op donderdag 6 juli 2006 01:03:46

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op 6 juli 2006 00:47:18 schreef free_electron:
ik heb al dik 150 bladzijden. ben bijan halfweg. schat dat ie 350 baldzijden wordt

Tegen de tijd dat je proeflezers nodig hebt mag je me op de lijst toevoegen. Kan ik me kennis ook weer beetje ophouden. Laaste wat ik met een altera heb gedaan is een reedsolomon encoder gebouwd als afstudeer project.

Volgende boek titel ? Van zand tot NAND ?

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

Muziekdoos?iets met video?

[Bericht gewijzigd door Ibanez op donderdag 6 juli 2006 01:04:05

Een atx voeding is geen labvoeding, en je kunt er geen auto mee starten ook
free_electron

Silicon Member

Op 6 juli 2006 00:52:31 schreef jovak:
[...]
Tegen de tijd dat je proeflezers nodig hebt mag je me op de lijst toevoegen. Kan ik me kennis ook weer beetje ophouden. Laaste wat ik met een altera heb gedaan is een reedsolomon encoder gebouwd als afstudeer project.

Volgende boek titel ? Van zand tot NAND ?

heh . lijkt me leuk. een boek over hoe chips genmaakt worden. wie weet. ... kzal er eens over nadenken..

of anders misschien 'bloemschikken voor electronici' ... over pcb ontwerp.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 6 juli 2006 01:03:46 schreef Ibanez:
Muziekdoos?iets met video?

vga connectorje erop ... hmmmm
of een PAL uitgang ... ( wel zwart wit. kleur wordt te moeilijk. )

we zien wel. alles kan

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op 6 juli 2006 00:47:18 schreef free_electron:

ik zal eens nadenken over ene paar andere leuke 'speeltjes'

ten ware er iemand een interessanter idee heeft ?

Frequencycounter met USB output ?
simpele Logic state analyzer ?

als ik nog meer bedenk laat ik het weten ;)

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

Op 6 juli 2006 01:04:43 schreef free_electron:
[...]
heh . lijkt me leuk. een boek over hoe chips genmaakt worden. wie weet. ... kzal er eens over nadenken..

of anders misschien 'bloemschikken voor electronici' ... over pcb ontwerp.

'Solderen kun je leren ' Van buizenvoet tot BGA ...

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

sinds we op school dit jaar (1ste jaar hogeschool) kennis hebben gemaakt met fpga's zijn het best leuke dingen.
veel lijk me idd mogelijk. alhoewel soundsynthese ofzo al wel vrij moeilijk wordt maar niet onmogelijk.
ziet er best leuk klokje uit.

*pest modus* mag dat met nixies in plaats van 7 segment :p
*/pest modus*

als je als proeflezer een student nodig hebt count me in :)

My Tube is bigger then yours
free_electron

Silicon Member

Op 6 juli 2006 01:08:48 schreef jovak:
[...]

Frequencycounter met USB output ?
simpele Logic state analyzer ?

als ik nog meer bedenk laat ik het weten ;)

een frequency counter lijkt me inderdaad ook ene mooi porojectje.
logic analyserty ook

die frequency counter wil ik nog eens over nadeneken. das misschien een leuke.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 6 juli 2006 01:28:44 schreef beertje_01:
sinds we op school dit jaar (1ste jaar hogeschool) kennis hebben gemaakt met fpga's zijn het best leuke dingen.
veel lijk me idd mogelijk. alhoewel soundsynthese ofzo al wel vrij moeilijk wordt maar niet onmogelijk.
ziet er best leuk klokje uit.

*pest modus* mag dat met nixies in plaats van 7 segment :p
*/pest modus*

als je als proeflezer een student nodig hebt count me in :)

kzal er speciaal voor u grote contactoren op zetten dan kun je 2000 watt lampen schakelene per uitgang ermee . zo goed ?

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
Een atx voeding is geen labvoeding, en je kunt er geen auto mee starten ook

Een goed boek over DSP:
-FFT
-FIR filter
-IIR filter
...

RT

free_electron

Silicon Member

Op 6 juli 2006 07:48:01 schreef robint91:
Een goed boek over DSP:
-FFT
-FIR filter
-IIR filter
...

RT

dat is iets voor iemand anders. veeeeeeeeeel te veel , zoniet puur , wiskunde. das niet voor mij.
bij dergelijke dingen komen geen soldeerbouten te pas :p
en je kan dat ook niet met gezond boerverstand en natte vinger te lijf ( alhoewel ik er ene ken die dergelijke dingen met de natte vinger doet .... nuja die schrijft 3 borden vol met ingewikkelde vergelijkingen , ramt dat in matlab en dan rekent dat spul 4 of 5 uur , als resultaat er is kijkt die kerel naar de uitdraai , kijkt naar zijn bord en zegt doodleuk , ah die 2 daar moet een 2.139 zijn en dan zal het wel kloppen. -tonk-

ik heb die ooit de filtercoeficiienten van een zeer complex digitaal filter zien aanpassen in een HEXDUMP van de DSP ..... DSP in break, memory bank dumpen ,met de hexeditor bewerken en terug in de dsp vlammen en laten lopen. en twas juist.... niks assembler , compiler . alles uit het hoofd. en dan hadden onze programmeurs 2 weken werk om uit te vlooien wat ie nu juist gedaan had en de compiler wijs te maken om die exacte code te genereren ... initieel hadden ze die code er gewoon als datablok ingezet. geen kat kon die assembler 'de-compileren' naar C-code die er na compilatie exact het zelfde zou uit zien....

ik vroeg die ooit eens waar die electronica gestudeerd had en lerne programmeren. zijn antwoord was : ik heb helemaal geen electronica gestudeerd. ik ben astrofysicus van opleiding. mijn doctoraat was over quasar straling en vliegt nu als onderdeel van de X-ray camera in de hubble telescoop .... om mijn theorie te bewijzen moest ik rap effe electronica en programmeren leren om een instrument te bouwen voor detectie .... slik, dan wordt je effe heel stil ....

[Bericht gewijzigd door free_electron op donderdag 6 juli 2006 08:28:47

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 6 juli 2006 07:37:06 schreef Ibanez:
Scope op Tv?

te moeilijk. A/d voor nodig en geheugen en ander spul.
dat gaat veel te ver

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Pong op tv? (kanook met een pic;))

Een atx voeding is geen labvoeding, en je kunt er geen auto mee starten ook

Nice F.E. ik kijk met veel interesse uit naar de final release van dit boek, een intersante opfrissing is altijd leuk.

Nog veel succes ermee en ga je de 'beta' ook weer gratis te lezen plaatsen ?

slimme mensen vinden oplossingen, genieën vinden problemen.

Another two pennies... Hele goede idee-en, al die verschillende projecten, maar de aim van het boek is de FPGA te begrijpen, en daar dus zoveel mogelijk mee te stoeien, zonder dat het project zelf het probleem wordt. Een 7 segment klok is voor iedereen duidelijk, en als je er later een pong van wilt maken, dan zijn de blokken behandelt. Hoe je het video signaal moet generen is voor een hoop beginners toch weer te veel. Trouwens... Als je een PLL hebt, 50/60 Hz omschakelen tussen USA en Europa? Of overschakelen tussen DCF77/lichtnetfrequentie/intern kristal?

carpe cerevisi

Op 5 juli 2006 18:04:28 schreef free_electron:
alle alteras kan je kopen bij digigikey.

Helaas is Altera waarschijnlijk weer zo'n fabrikant die digikey niet aan Nederland mag verkopen, want op nl.digikey.com levert 'Altera' welgeteld 3 resultaten op waar geen van allen er iets mee te maken heeft.

Je kunt natuurlijk ook via digikey.com bestellen maar als ik het dan toch meeneem in een bestelling doe ik dat liever via nl, dan heb je tenminste UPS voor 18 euro ipv iets van 60.

Op 5 juli 2006 18:25:41 schreef free_electron:
voor altera bestaat er ook een usb blaster. die is wel duur , maar er bestaan al 'klonen' van .. das een van de dingen in het boek : maken van een usb blaster kloon.

Hoe wil je dat doen? Zijn daar schema's/code van bekend? Ik wil al tijden met FPGA's beginnen maar wat me tegenhield is de verkrijgbaarheid en de absurde prijs van de officiele USB programmer. Een parallele programmer is leuk en ik heb nog een parallele poort maar ik gebruik liever iets moderners (beetje hetzelfde als geen obsolete ICs gebruiken). Maar aan USB is er nauwelijks zelfbouw te vinden terwijl dit voor PICs en AVRs vol op te vinden is. Inderdaad wel wat klonen gezien maar volgens mij zaten die ook nog rond de 100 euro, dan vraag ik me weer af wat daar voor ingewikkelds in zit dan, als parallel zo simpel is.

En kun je met niet-officiele programmers ook meteen alle Altera's programmeren? En JTAG werkt dat er ook mee of kun je er alleen mee programmeren?

Verder lijkt het me een heel gaaf boek worden en wil het ook zeker hebben als het af is!

Ja dat vroeg ik mij ook al af. Heeft ervaring met het bestellen van componenten die wel in de US catalogus van digikey staan maar niet in de NL?

Op 6 juli 2006 09:50:52 schreef madwizard:
[...]
Helaas is Altera waarschijnlijk weer zo'n fabrikant die digikey niet aan Nederland mag verkopen, want op nl.digikey.com levert 'Altera' welgeteld 3 resultaten op waar geen van allen er iets mee te maken heeft.

Je kunt natuurlijk ook via digikey.com bestellen maar als ik het dan toch meeneem in een bestelling doe ik dat liever via nl, dan heb je tenminste UPS voor 18 euro ipv iets van 60.

Je kunt ze bestellen via http://www.altera.com/buy/buy-index.html ongeveer 20 euro verzend kosten en in een week in huis (expres post) En ja Digikey verzorgd de distributie je kunt ze voor nl alleen niet via hun site bestellen.

[...]
Maar aan USB is er nauwelijks zelfbouw te vinden terwijl dit voor PICs en AVRs vol op te vinden is.

Echt goed zoeken, er zijn klonen die draaien op 1 cpld (64 K gates) en een FTDI chipje, De beschrijving in de CPLD stelt niet echt veel voor, het is meer omvormen van serieel naar paralel.

Ok, maar als je toch via digikey wilt bestellen, b.v. omdat je meer nodig hebt dan een paar FPGA's, is het een beetje dom om via altera de FPGA's te bestellen en de rest direct bij digikey. Je moet dan 2x verzendkosten betalen.

Als ik lees dat je 60 dollar moet betalen voor verzenden van digikey us naar nederland dan kun je beter 20 euro betalen vanaf Altera en 18 euro van Digikey nl (totaal dus 38 euro) dan 60 dollar (dat toch ook nog iets van 50 euro is.

Maar Lexy is een beetje blind (toch?) anders had hij kunnen lezen dat Madwizzard al had geschreven dat Altera niet via digikey aan NL levert (althans niet via de site van digikey, wel vanuit hun warehouse).

Good nu weer verder on toppic anders wordt Free boos en blaast hij het hele verhaaltje uit :)

Ik ben niet blind :(, maar m'n vraagstelling was mischien niet helemaal duidelijk. Gebeurt nog wel eens met een text based communicatie middel....
Maar laten we het weer over het boek hebben:
Wat ook altijd een leuk voorbeeldje is, is het genereren van een VGA signaal. Is erg simpel en ziet er leuk uit. Je hebt er 5 digitale signalen voor nodig (en wat weerstandjes). Kan je ook nog uitbreiden naar een PONG spelletje.
Nog een vraagje aan Free: kan je nog wat boeken aanraden voor de iets gevorderde FPGA progger (verilog)?

Ja dat kopen bij Atmel is gewoon een directe verbinding met digikey US. De verzendkosten zijn ook exact hetzelfde, en inderdaad kun je voor $18 laten verzenden. edit: met die 60 euro bedoelde ik UPS, het kan wel goedkoper idd Maar digikey NL heeft UPS voor 18 euro, en dat is toch wel een wat betere service. Dus als ik veel spullen bestel doe ik dat liever bij NL.

Het is gewoon vrij suf dat je bepaalde fabrikanten (op een of andere manier alle fabrikanten van ICs die free_electron altijd aanraadt :)) niet via de NL site kan bestellen. Je kunt ze ook gewoon via de US site bestellen dus het is niet zo dat je ze niet kan krijgen ofzo, waarom dan gewoon niet ook bij NL. Ik dacht met digikey echt de ideale winkel gevonden te hebben maar dit is wel weer jammer.