Oproep : Van 0 en 1 tot FPGA

free_electron

Silicon Member

Op 6 juli 2006 13:17:47 schreef surge_me:
[...]

Echt goed zoeken, er zijn klonen die draaien op 1 cpld (64 K gates) en een FTDI chipje, De beschrijving in de CPLD stelt niet echt veel voor, het is meer omvormen van serieel naar paralel.

dat zit ook in de originele omvormer. MAAAAAR er zijn een aantal verschllen. Die klonen kunnen niet in AS mode draaien. heb je alleen nodig om de config proms te progrren en is eigenlijk maar ene klein mankement.

die cpld die daar in zit is niet correct gemaakt. ( is trouwens maar 64 flipflops hoor en niet 64k gates :) ) tis een 7064 die erin zit.

ik heb hier zo een originele kabel voor mijn neus liggen.
() die hing vorige week aan de logic analyser hier ... als je begrijpt wat ik bedoel .... )

nu is het maken van die usb blaster een beetje een kip-ei probleem. er zit namelijk een alteratje in die kabel en die moet ook geprogd worden .... je snapt hem al
ik ga dus in ieder geval een paralelle programmer opnemen in het boek. de schemas zijn daarvan ook publiek.

de USB programmer moet ik eens goed over ndenken. ik weet niet in hoeverre je mag reverse engineeren en dat publiceren ... een tweede probleem is dat je de VID en PID van altera moet flashen in de ftdi en dat is eigenijk ook iets wat niet mag ... dan ben je het ding aan het namaken..... de altera software werkt alleen met die VID en PID

alhoewel er bedrijven zijn die officieel klonen verkopen. ik heb er zo eentje in bestelling ( 50$ ) die ga ik ook eens bestuderen ... als die effectief 100% compatible is dan is dat ook 'piratery', maar ik vermoed dat zij met een andere driver werken, of dat ze bepaalde zaken niet geimplementeerd hebben

ik ga trouwens ook in het boek een aantal dingen laten zien op dat grote fpga bord van elektuur waar nu de serie over loopt.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

de USB programmer moet ik eens goed over ndenken. ik weet niet in hoeverre je mag reverse engineeren en dat publiceren ...

Hmmm reverse engineren mag, doch dat het alleen voor educative(non commerciele geloof ik ook) doeleinde wordt gebruikt. Maar aangezien je een boek maakt en dat uiteraard natuurlijk commencieel is het denk ik niet mogelijk.

kvond een draadje met de zelfde gedachte,
http://www.algoritme.nl/view.cgi?id=5705

ook hier is dat wel eens ten sprake gekomen.

Hmm, sorry dat ik het zeg maar wat is er trouwens van je andere boek geworden. Ik had minimaal wel iets verwacht van "hij is af" of zo...

mov eax, 0x666
free_electron

Silicon Member

die is uit en te koop sedert een paar weken
www.elektuur.nl en ga naar de webshop.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Lijkt me een erg interesant boek, zeker na ik ook het vorige hier heb liggen.
en zal het natuurlijk ook graag lezen wanneer het af is.

Misschien had je er al lang aan gedacht, maar toch: iets wat eigenlijk voor alle elektronica boeken goed is: Schrijf zo veel mogelijk de toekomst in. De ontwikkelingen in de elektronica gaan zo hard dat het nauwelijks bij te houden is. Heb een aantal boeken gelezen (ik gebruik persoonlijk liever het internet) maar de informatie die daarin stond was vaak al lang achterhaald (mss ook een kwestie van de verkeerde boeken lezen:P). Anyway, als je met je boek een jaar vooruit bent kan ie ook net weer een jaartje langer mee, en das toch leuk:).

Nog iets, het is al eerder opgemerkt, maar hoe kom jij aan al je tijd:P? Is dat een kwestie van efficient werken of is je gewone baan maar part-time? Ik zou het bijvoorbeel echt niet voor elkaar krijgen te werken en nog is een post van 1.5 meter te maken over soorten RAM (heb ik veel van opgestoken trouwens). Ik denk soms wel is dat jouw account (f_e) wordt onderhouden door een heel team van elektronica deskundigen:P.

Verder bied ik me ook aan als proeflezer (voor zover je die nog nodig hebt) maar dan meer voor de geinteresseerde die er een klein beetje verstand van heeft en zijn kennis graag wil uitbreiden.

Ik ga ook je boek over visual basic zeker kopen, ziet er erg goed uit.

Verder succes met schrijven!

Berend

free_electron

Silicon Member

Op 6 juli 2006 19:56:33 schreef Berend:
Misschien had je er al lang aan gedacht, maar toch: iets wat eigenlijk voor alle elektronica boeken goed is: Schrijf zo veel mogelijk de toekomst in.

Nog iets, het is al eerder opgemerkt, maar hoe kom jij aan al je tijd:P? Ik denk soms wel is dat jouw account (f_e) wordt onderhouden door een heel team van elektronica deskundigen:P.

boek is inderdaad future proo.f ik breke ook met de traditie om boeken te maken met ellenlange hoofdtukken over karnaugh en rekenregeltjes en ander spul. geen kat doet dit nog. de synthesizers lossen dat veel sneller en beter op. KV en QM wordt effe aangehaald ( 5 bladzijden en als laatste paragraaf staat er letterlijk in het boek : En nu mag je dit allemaal vergeten. tis achterhaald. der zijn snellere methodes.

Leren waarheidstabellen omzetten door middel van matrix logica is veel sneller. en je hebt ene circuit in plaats van wat formules.

ditto voor maken van complexe vergelijkinge : pak ene multiplexer strap de ingangen aan grond en masse en spelen maar.
ik heb toevallig vandaag nog zoiets gedaan. een vrij complexe waarheidstabel. er waren nogal een zak poorten voor nodig. ik schat een 15 tal ttl ic's. ( sommige maar half gebruikt )

ik heb gewoon 3 4067 multiplexertjes gepakt. de ingangen gestrapt en twas geklonken . complexe tabel was omgezet naar 3 chips die niks kosten en een vliegescheet groot zijn.

Door een paar slimme truuks uit te halen kan je heel veel logica vervangen door simpele blokken. dat word uitvoerig belicht in het boek.
ook wordt veel aandacht geschonken aan de problemen van logica.

ik heb gisteren het hoofdstuk over ADDERS afgewerkt. heel leuk. je moet eens op de klassiek manier een adder maken die twee 4-bit getallen kan opetellen.
je moet die eens 2+9 laten doen en dan omschakelen naar 9+9 en kijken wat een ongelofelijke rotzooi er daar aan de uitgang verschijnt terwijl de carry aan het propageren is ... ik heb 7 foutieve combinaties geteld ...

heel leuk. de meeste boeken tonen altijd een ideale adder , maar geen enkel wijst op de gevaren van de reele implemnentatie die optrdedn door delay en race condities.
dat doe ik dus wel.

het zelfde soort 'onzin' circuleert over de fameuze half adder en full adder. die dingen bestaan eigenlijk niet. er is alleen een ADDER. punt uit. en ja bij het eerste bit kan je de logica vereenvoudigen omdat de carry in aan grond hangt.... je spaart welgeteld 2 poortjes uit , maar om daar nu speciaal een nieuw circuit voor te maken en dat ook nog eens compleet uit te werken ... ik sla dat spul compleet over. daarentegen besteed ik wel 3 bladzijden aandacht over hoe je vanuit de probleemstelling ' maak een schakeling die 2 binaire getallen bij elkaar kan optellen' tot een werkend circuit komt. en we beginnen met uit te leggen hoe je twee cijfers op papier optelt.

je heriinert je vast nog

code:


  137
+  13
-----

je moet eens goed analyseren hoe je dat precies doet en wat de spelregels zijn .... je zult verbaasd zijn hoe complex het eigenlijk is om dat in een flowchart te gieten die je kan omzetten in logica.

sommigge van die regeltjes zoals 'overdracht' kan je niet zomaar omzetten in logica. op papier gebeurt die overdracht 'soms'. in binaire logica is er geen 'soms'

De eindconclusie is eigenlijk dat een binaire opteller geen twee bits maar 3 bits bij elkaar moet optellen.
de twee huidige samen met de overdracht van het vorige !

En daar wordt de nadruk op gelegd : hoe zet ik ene probleem om in iets wat implementeerbaar is in een blok logica.

Het hoodstuk over encoder bijvoorbeeld tootn een duidelijk praktisch probleem : hoe implementeer je een grote waarheidstabel. het voorbeeld is een toestenbord encoder voor de cijfers 0 tot en met 9. als je die waarheidstabel moet uitschrijven ( de encoder levert de 4 bit waarde en ene key_hit signaal ) dan heb je een tabel met 1024 lijnen ... begin maar te minimaliseren hoor ...
karnaugh is een ramp vanaf 5 variabelen en bij 8 moet je multidimensinaal leren denken.
ook met QM kom je er niet.

ik besteed daar ook veel aandacht aan het analyseren van het probleem. na analyse en eliminatie is de conditietabel nog welgeteld 11 lijnen lang. veeel makkelijker om logica te bouwen daarvoor. ( het eindresultaat is een zogenamde priority encoder )

enfin, ik moet mij hier effe terug nuttig maken

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
KT88

Overleden

Op 6 juli 2006 19:56:33 schreef Berend:
Ik denk soms wel is dat jouw account (f_e) wordt onderhouden door een heel team van elektronica deskundigen:P.

Dat vermoeden heb ik ook wel eens, en dan een slecht afgestelde bot die de posts schrijft >:-)

" Ratings are for transistors.....tubes have guidelines" - www.audioconsultancy.nl -
free_electron

Silicon Member

ach neen. das geen team. ik heb gewwon last van multipersonality syndrome , ne ik laat die allemaal in parallel draaien !

[Bericht gewijzigd door free_electron op donderdag 6 juli 2006 22:17:09

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

hoeveel uur werk je in de week? Of zit je op je werk de hele tijd te CO'en >:)?

Op 6 juli 2006 22:32:03 schreef Berend:
hoeveel uur werk je in de week? Of zit je op je werk de hele tijd te CO'en >:)?

Joh. hij doet het zelfde als een hoop CO'ers je hebt een probleem gooit het op CO voor je werk en dan maak je de goede sier ;-)

even serieus, als ik kijkn aar de tijden van posts van free denk ik dat hij thuis komt om een uur of 6 avonds en zit met zijn eten op de werktafel op CO, een vork om te eten en tegen de tijd dat het afgekoeld is gaat er even een SMD hot air soldeer station over heen om het eten weer op te warmen. of hij heeft een leuk reflow-oventje om zijn prakje op te warmen ;-)

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.
free_electron

Silicon Member

Op 6 juli 2006 23:19:50 schreef jovak:
[...]

even serieus, als ik kijkn aar de tijden van posts van free denk ik dat hij thuis komt om een uur of 6 avonds en

nope. je vergeet 9 uur tijdverschil.
ik maak van de dooie moemtnen gebruik om eens op co te zien ik veel automatische testen dei 2 a 3 uur lopen. en dan valt er wel een gat

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op 6 juli 2006 23:50:52 schreef free_electron:
[...]

nope. je vergeet 9 uur tijdverschil.
ik maak van de dooie moemtnen gebruik om eens op co te zien ik veel automatische testen dei 2 a 3 uur lopen. en dan valt er wel een gat

uhh da's waar jij zit ongeveer aan de westkust in plaats van de oostkust. ... even beetje geen rekening mee gehouden...

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

Op 6 juli 2006 19:56:33 schreef Berend:
ik denk soms wel is dat jouw account (f_e) wordt onderhouden door een heel team van elektronica deskundigen:P.

Dit halve forum draait zo'n beetje op f_e.
wel eens gelezen dat er een probleem niet helemaal uitkwam?.

Sterker nog, ik denk dat in heel nederland er heel wat jongens en meisjes met PIC's enzo bezig zijn.
Zonder CO, picbasiq.nl, voti, en f_e zou dat maar 10% van dat aantal zijn. heveel leden had CO ookalweer? 15000.
Ik denk echt dat AL die mensen iets voor nederland kunnen betekenen in de zin van handel-en-kenniseconomie ipv werkeconomie. Ik bedoel, het zijn 99% jongen mensen, die op het punt staan het bedrijfsleven in te gaan.

KIS!!: Keep It Simple

Op 7 juli 2006 10:01:22 schreef Martijn v:
[...]
Dit halve forum draait zo'n beetje op f_e.
wel eens gelezen dat er een probleem niet helemaal uitkwam?.

Sterker nog, ik denk dat in heel nederland er heel wat jongens en meisjes met PIC's enzo bezig zijn.
Zonder CO, picbasiq.nl, voti, en f_e zou dat maar 10% van dat aantal zijn. heveel leden had CO ookalweer? 15000.
Ik denk echt dat AL die mensen iets voor nederland kunnen betekenen in de zin van handel-en-kenniseconomie ipv werkeconomie. Ik bedoel, het zijn 99% jongen mensen, die op het punt staan het bedrijfsleven in te gaan.

In ieder geval heb ik er al veel geleerd en ik denk dat er meer zijn die er zo over denken.

KT88

Overleden

Op 7 juli 2006 10:01:22 schreef Martijn v:
Ik denk echt dat AL die mensen iets voor nederland kunnen betekenen in de zin van handel-en-kenniseconomie ipv werkeconomie.

Dat is een heel scherpe observatie!
Ik denk ook dat dat, al dan niet bewust, de drijfveer is van veel "ouderen" en mensen met kennis, om die kennis hier op CO over te dragen.

In die zin is Free eigenlijk al leraar he ;-)

" Ratings are for transistors.....tubes have guidelines" - www.audioconsultancy.nl -

Op 7 juli 2006 17:45:28 schreef KT88:
[...]
Dat is een heel scherpe observatie!
Ik denk ook dat dat, al dan niet bewust, de drijfveer is van veel "ouderen" en mensen met kennis, om die kennis hier op CO over te dragen.

In die zin is Free eigenlijk al leraar he ;-)

Yep, maar dan in de positieve zin van het woord.
Dus free, niet boos worden, het is niet zoals jij over leraars denkt :+

AKA Naftebakje @Tweakers.net --- Zonder dwarsliggers geen spoor
free_electron

Silicon Member

kijk op zo een manier wil ik wel leraar spelen. : der zitten alleen maar geinteresseerden in de klas en ik ben niet gebonden aan een ouderwets leerplan en een schooldirectie die al 30 jaar op pensioen moest zijn ( de 'nonkel paters' ). ik moet ook geen examens afnemen , en ik hoef ook niemand buiten te gooien.

das ook de reden waarom ik liever boeken schrijf dan bijvoorbeeld zou lesgeven.
iemand die dat boek koopt is terdege geinteresseerd in de matierie.

in de scholen 'hangen' er een nest puisterige sufkoppen , nog half gedrogeerd of geintoxiceerd van de nacht ervoor , in de banken met een uitdrukking op hun gezicht waaruit valt af te leiden dat zij vinden dat 'den dien vanvoor weer aant't memmen is nenne...'

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Free, je hebt zelf ook in de klas gezeten, dacht je dat laatste zinnetje toen ook al?

als je al die saaie brol krijgt welke je al 10 keren hebt gezien wel denk ik :)
heb bijvoorbeeld nooit aanwezig geweest op analoge elektronica dit jaar buiten een paar keer toen het verplicht was.

resultaat was 17,5/20
allé ja als je dan in zo'n les moet zitten waar je de docent constant zou kunnen verbeteren.....
swat we gaan wel off topic hé :)
ik denk wel als free dit boek af heeft ik deze toch ga kopen ofzo.

My Tube is bigger then yours
chuckie

Honourable Member

Fantastisch initiatief Free,
zelfs ik ben ondanks de inmiddels in dit topic ruimschoots geventileerde technische krachttermen enthousiast geworden.
Schrijf mij maar in(indien mogelijk).
Verder heb ik Lastei een paar rake opmerkingen zien maken, ook ik denk dat het goed zou zijn om aan de hand van het projectje (alarmklok) een rode draad er doorheen te weven waarbij een relatie is tussen componenten en progressie in de stof. Mijn kennis houdt op bij de boleaanse algebra en logische bouwstenen die nodig zijn om een PLC te programmeren, maar als ik op een gebied te kort kom stort ik mij in een autodidactische razernij op hetgeen ik kan vinden en heb dan in de regel het meest aan het build-up principe.
Ik begrijp ook dat het een leesbaar boek wordt met allerlei verwijzingen en uitleg over voornoemde krachttermen, dit juich ik van de hoogste toren toe!
Mijn zwager bijvoorbeeld is een native american specialist en schrijft hier ook boeken over die bij jullie daar door een select gezelschap gelezen en begrepen worden en daar zit em nou net de kneep. Hij is zo'n vakidioot die mensen heel wat zou kunnen en willen vertellen, maar is zo doordrenkt van de materie dat hij dit eigenlijk niet goed kan. Affijn, ik kijk er naar uit en hoop dat ik de tijd kan vinden het door te werken.
Succes.

Ervaring is de naam voor de som der fouten die we gemaakt hebben--Oscar Wilde

@free ik weet niet of je die testbeelden van tv kent met al die kleurtje en zo'n irrie piep er door als er weer eens een zender uitlag ,, mischien zo'n testbeeld maken?

[hmmf, heb je wel weer memory nodig:(]

Een atx voeding is geen labvoeding, en je kunt er geen auto mee starten ook
free_electron

Silicon Member

Op 7 juli 2006 22:43:41 schreef surge_me:
Free, je hebt zelf ook in de klas gezeten, dacht je dat laatste zinnetje toen ook al?

jep maar om andere redenen . bij mij was't meer in de trant van. 'kom jongen , vertel eens iets wat ik nog niet weet' >:)

al moet ik zeggen dat di van digitaal wel wist waarover em bezig was. en die van analoge technieken ook.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 8 juli 2006 00:25:16 schreef Ibanez:
@free ik weet niet of je die testbeelden van tv kent met al die kleurtje en zo'n irrie piep er door als er weer eens een zender uitlag ,, mischien zo'n testbeeld maken?

[hmmf, heb je wel weer memory nodig:(]

eigenlijk niet. je zou dat perfect als ene state machine kunnen bouwen. maar dat gaat veel te ver.

eventueel wel ene kleurenbalk op VGA of een rasterpatroon ( dat zijn een paar tellers. houdt niks in )

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 8 juli 2006 00:00:19 schreef chuckie:
Fantastisch initiatief Free,
zelfs ik ben ondanks de inmiddels in dit topic ruimschoots geventileerde technische krachttermen enthousiast geworden.
Schrijf mij maar in(indien mogelijk).
Verder heb ik Lastei een paar rake opmerkingen zien maken, ook ik denk dat het goed zou zijn om aan de hand van het projectje (alarmklok) een rode draad er doorheen te weven waarbij een relatie is tussen componenten en progressie in de stof.

dat is inderdaad de bedoeling.

een overzichtje van de inhoud tot nu toe :

Chapter 2 : Er was eens... Booleaanse Algebra 1.4-10
2.1 : De operatoren 2.1-10
2.2 : De basiswetten 2.2-10
2.3 : De wetten van DeMorgan 2.3-11
2.4 : Overzicht van de Rekenregels 2.4-12
Chapter 3 : Logische vergelijkingen 2.4-13
3.1 : Eenvoudige vergelijkingen 3.1-13
3.2 : Waarheidstabellen 3.2-14
3.2.1 : Opstellen van de ingangscombinaties 3.2-15
Chapter 4 : Reductie van logische vergelijkingen 3.2-18
4.1 : Booleaanse algebra en de Morgan 4.1-18
4.2 : Karnaugh Kaarten 4.2-18
4.3 : Quine-McCluskey 4.3-19
4.3.1 : Het QM Algoritme 4.3-20
4.3.2 : Vaststellingen 4.3-25
Chapter 5 : De Basis poorten 4.3-26
5.1 : De grondpoorten 5.1-26
5.1.1 : NOT 5.1-26
5.1.2 : AND 5.1-27
5.1.3 : OR 5.1-27
5.2 : Afgeleide poorten 5.2-28
5.2.1 : NAND 5.2-28
5.2.2 : NOR 5.2-29
5.2.3 : EXOR 5.2-30
5.2.4 : EXNOR 5.2-31
Chapter 6 : Combinatorische systemen 5.2-33
6.1 : Encoders en Decoders 6.1-33
6.1.1 : Encoder 6.1-33
6.1.2 : Prioriteits encoder 6.1-37
6.1.3 : Decoder 6.1-37
6.1.4 : Transcoders 6.1-37
6.1.5 : Praktische methode om snel transcoders te maken 6.1-38
6.1.6 : Vaststellingen 6.1-40
6.2 : Multiplexers en de-multiplexers 6.2-40
6.2.1 : Multiplexers 6.2-40
6.2.2 : De-multiplexers 6.2-43
6.3 : Rekenkundige circuits 6.3-44
6.3.1 : Adder schakelingen (optellers) 6.3-45
6.3.2 : Look Ahead adder 6.3-49
6.3.3 : Subtractors (aftellers) 6.3-49
6.3.4 : Multipliers (Vermenigvuldigers) 6.3-49
6.3.5 : Dividers (delers) 6.3-49
6.4 : Code convertoren 6.4-49
6.4.1 : Binair codes : 6.4-49
6.5 : Parity generatoren 6.5-50
6.6 : Lookup tables 6.6-50
6.6.1 : Implementatie van logica door middel van LUTs 6.6-50
6.7 : Speciale poorten 6.7-50
6.7.1 : Tristate gates 6.7-50
6.7.2 : Open collector / Drain 6.7-50
Chapter 7 : Combinatorische logica maken met klassieke bouwstenen. 6.7-51
Chapter 8 : Geheugen elementen 6.7-52
8.1 : De Latch 8.1-52
8.1.1 : D Latch 8.1-52
8.1.2 : T Latch 8.1-52
8.2 : De Flipflop 8.2-52
8.2.1 : RS 8.2-52
8.2.2 : RST 8.2-52
8.2.3 : JK 8.2-52
8.2.4 : JKMS 8.2-52
8.2.5 : D flipflop 8.2-52
Chapter 9 : Ontwerpen met Geheugen elementen 8.2-53
9.1 : Tellers 9.1-53
9.1.1 : Asynchrone tellers 9.1-53
9.1.2 : Synchrone tellers 9.1-53
9.2 : Delers 9.2-54
9.3 : Rate Multipliers 9.3-54
9.4 : State Machines 9.4-54
9.4.1 : Moore 9.4-54
9.4.2 : Mealy 9.4-54
9.5 : Sequencers 9.5-54
9.5.1 : N-step sequencers 9.5-54
9.6 : Multiphase generatoren 9.6-54
9.6.1 : 4 Phase clock generator 9.6-54
9.6.2 : N-phase generatoren 9.6-54
9.7 : PLL circuits 9.7-54
9.7.1 : PLL engine 9.7-54
9.8 : Synchronizers 9.8-54
9.9 : Debouncers 9.9-54
9.10 : Registers 9.10-55
9.10.1 : Schuifregisters 9.10-55
9.11 : Memory elementen 9.11-55
9.11.1 : RAM 9.11-55
9.12 : Multiport 9.12-55
9.12.1 : Dual Port 9.12-55
9.12.2 : Multiport 9.12-55
9.12.3 : Semaforen 9.12-55
Chapter 10 : Vaste Logica Families 9.12-56
10.1 : RTL en DTL 10.1-56
10.2 : TTL 10.2-57
10.3 : ECL 10.3-59
10.4 : CMOS 10.4-59
10.5 : PECL 10.5-59
10.6 : I2L 10.6-59
10.7 : Gunning transistor Logic 10.7-59
10.8 : GaAs logica 10.8-59
Chapter 11 : Ontwerpen met echte logica 10.8-60
11.1 : Logische levels en de verboden zone 11.1-60
11.1.1 : De uitgangsniveaus 11.1-60
11.1.2 : De ingangs niveaus 11.1-61
11.1.3 : De verboden zone 11.1-61
11.2 : Rise- en Fall-time van signalen 11.2-61
11.3 : Schmitttriggers 11.3-62
11.4 : Fan-in en fan-out 11.4-63
11.4.1 : Fan-Out 11.4-63
11.4.2 : Fan-In 11.4-63
11.4.3 : Wat moet je er mee 11.4-64
11.5 : Stoorgevoleigheid 11.5-64
11.5.1 : Differentiele signalen 11.5-64
11.6 : Voedings ontkoppleing 11.6-64
11.6.1 : Stroomverbruik van cmos 11.6-64
11.7 : Propagation delay 11.7-64
11.8 : Setup en hold time 11.8-65
11.9 : Race condities 11.9-65
11.10 : Metastabiliteit 11.10-65
11.11 : Ringing en ground bounce 11.11-66
11.12 : Crosstalk 11.12-66
11.12.1 : Bord layout 11.12-66
11.12.2 : Terminatieweerstanden 11.12-66
11.12.3 : Serieweerstanden 11.12-66
11.12.4 : Transmissielijnen 11.12-66
11.12.5 : Vermijden van t-stubs 11.12-67
11.13 : Recyclage van logische poorten 11.13-67
11.13.1 : Het schuiven van invertoren 11.13-67
Chapter 12 : Programmeerbare logica 11.13-68
12.1 : Geschiedenis 12.1-68
12.2 : Types 12.2-71
12.2.1 : FPLA 12.2-71
12.2.2 : PAL 12.2-72
12.2.3 : GAL 12.2-72
12.2.4 : EPLD 12.2-73
12.2.5 : CPLD 12.2-74
12.2.6 : Gate Array 12.2-75
12.2.7 : FPGA 12.2-75
12.2.8 : Hybride componenten 12.2-77
Chapter 13 : Ontwerpen met PLD’s 12.2-78
13.1 : Voeding 13.1-78
13.1.1 : Multivoltage IO circuits 13.1-79
13.2 : Busvoorzieningen 13.2-79
13.3 : Programmering 13.3-79
13.4 : Clock voorziening 13.4-80
Chapter 14 : Synthesetalen 13.4-81
14.1 : ABEL 14.1-81
14.2 : Cupl 14.2-81
14.3 : AHDL 14.3-81
14.4 : Verilog 14.4-81
14.5 : VHDL 14.5-81
Chapter 15 : Ontwikkelsystemen 14.5-82
15.1 : Altera Quartus-II 15.1-82
15.2 : Xilinx ISE 15.2-82
Chapter 16 : Quartus tutorial 15.2-83
16.1 : Installatie 16.1-83
16.1.1 : Installeren 16.1-83
16.1.2 : Licentie installatie 16.1-83
16.2 : Opstarten 16.2-83
16.2.1 : De eerste start 16.2-83
16.3 : Aanmaken van een project 16.3-83
16.3.1 : Soorten files 16.3-83
16.3.2 : Andere files 16.3-83
16.4 : Tekenen van een schema 16.4-84
16.4.1 : Componentne plaatsen en verplaatsen 16.4-84
16.4.2 : Verbinden van componenten 16.4-84
16.4.3 : In en uitgangen 16.4-84
16.4.4 : Bussen 16.4-84
16.4.5 : Maken van subcircuits 16.4-84
16.4.6 : Device assignment 16.4-84
16.4.7 : Pin assignement 16.4-84
16.4.8 : Speciale functieblokken 16.4-84
16.5 : Instantieren van subcircuits 16.5-84
16.6 : De eerste compilatie 16.6-85
16.6.1 : Lezen van het compilatierapport 16.6-85
16.7 : Simulatie 16.7-85
16.7.1 : Aanmaken van vectorfiles 16.7-85
16.8 : Manipulatie van de simulatie uitdraai 16.8-85
Chapter 17 : Implementatie van Logica in PLD 16.8-86
17.1 : Schematisch ontwerp 17.1-86
17.2 : Verilog ontwerp 17.2-86
17.3 : VHDL ontwerp 17.3-86
17.4 : Simulatie 17.4-86
17.5 : Fusemap creatie 17.5-86
17.6 : Programmatie 17.6-86

houdt er rekening mee dat dit slechts ongeveer 1/3 is . ( zo ver ben ik. het meest is in 'half-formaat' geschreven. met andere woorden de harde kern is er maar het moet nog 'leesbaar' gemaakt worden.

dus als je vindt dat er in deze tabel nog iets TUSSEN moet

( der komt nog meer achteraan , en daar mag je ook sugesties over doen hoor). t'is kestie dat er niks vergeten is....

[Bericht gewijzigd door free_electron op zaterdag 8 juli 2006 05:34:01

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
chuckie

Honourable Member

@Free
Ik heb je vorige boek zojuist besteld bij elektuur en daarbij ook gelijk een basisboek microcontrollers.
Is er hard-en software bij de elektuurshop te krijgen die bij je boek het een en ander kan verduidelijken?
Bv een proefproject wat met het boek te vereenzelvigen is?

Ervaring is de naam voor de som der fouten die we gemaakt hebben--Oscar Wilde