[IA] FPGA development board

Op 18 maart 2007 19:58:13 schreef xantus:

Maar ik heb eens bij digikey naar die prijzen zitten kijken van de cyclone I en II en de EP1C6 en EP2C5 zijn ongeveer even duur maar de EP1C6 heeft 5908 LEs ipv 4608 LEs van de EP2C5. Heb daarom toch maar gekozen er een cyclone op te zetten.

Cyclone 1 gebruikt 10 LE`s per LAB, de cyclone2 16 LE`s.
Hierdoor kunnen schakelingen bij cyclone2 kleiner/sneller worden omdat je meer kan interconnecten ipv. global lijnen te gaan routen.
Ik zou dan voor cyclone 2 gaan. + dat er multiplyers inzitten.

Op 19 maart 2007 18:10:15 schreef free_electron:
[...]

of het er gewoon aflaten. dat maakt de pcb goedkoper.

Goedkoper is altijd meegenomen. Trouwens voor een IA is het gemakkelijker met 1 component. Omdat ieder uitstel tijd kost ware het veel beter dit zo snel mogelijk klaar te hebben. Later kan je gemakkelijker een extra I/O combinatie bijmaken. Veel kans dat er dan al feedback komt van gebruikers. Sommige gebruikers gaan toch hun eigen basisboard moeten uitbereiden volgens hun toepassing. Voor het starten is er meer dan voldoende.

Op 19 maart 2007 18:10:15 schreef free_electron:
[...]

hmmm. ik was net hetzelfde aant denken....
die cyclones zijn inderdaad goedkoper dan de MAXII ....
ik zou dat gedeelte voor de cpld kunnen veranderen naar GPIO ... en daar bijvoorbeeld een Sd card connector op zetten , een PS2 en VGA poort en eventueel een Audio A/D D/A ... maar dan kom je weer in de buurt van wat er al commercieel verkijgbaar is.

audio A/D D/A zou ik dan persoonlijk nog wel op prijs stellen..

Op 19 maart 2007 18:10:15 schreef free_electron:
[...]
ik zou dat gedeelte voor de cpld kunnen veranderen naar GPIO ... en daar bijvoorbeeld een Sd card connector op zetten , een PS2 en VGA poort en eventueel een Audio A/D D/A ... maar dan kom je weer in de buurt van wat er al commercieel verkijgbaar is.

Voor een echt ontwikkelingsboard met alle toeters en bellen kun je nooit concureren met de bestaande professionele boards zoals een DE1 of DE2. Die boards zijn vooral geschikt voor op je bureau te gebruiken. Dat doe ik ook met mijn DE1. Maar ga je voor gewone eenvoudige praktische toepassingen dan heb je kleinere zaken nodig. Dan is een gewoon LCD schermpje van 4x16 of 4x20 characters heel praktisch. Het is goedkoop en laat je heel veel aanduidingen toe. Ook RS232 verbinding, I2C, SPI, PS2, keyboard, Sd card connector allemaal kleine praktische zaken die weinig kosten maar zoveel toepassingen hebben. Enkele standaard digitale robuste in en uitgangen, waar je eens iets moogt verkeerd aanhangen zonder de boel op te blazen. Dit alles is echt niet groot en duur.
Enkel goede FET's, 400V 10A oersterk, niet moeilijk, kan vanalles sturen, kleppen spoelen weet ik veel en gaat bijna nooit stuk.

Voor echte highspeed toepassingen kom je er toch niet met 2 layer. Je kunt nooit je voordeel halen met snelle versie's die extreem hoge clocksnelheden zullen draaien op 2 layer, je weet dat ook wel. Dus die piste is niet voorbehouden voor gewoon experimenteer werk en beginners.

Audio en video, heel mooi maar dit vraagt ook specifieke afwerkingen.

Vandaar met een cycloneII kun je niet zoveel extra voordeel halen uitzondering zou nog die multiplyers zijn. Ram zit bijna op hetzelfde niveau. Als er prijsvoordeel zou zijn voor de cycloneII dan zou dit een goede reden zijn.

Cyclone of cycolneII veel zal het niet uitmaken voor de doelgroep waarvoor je deze printjes ontwerpt. Je merkt geen enkel verschil tijdens de compilatie voor deze dingen. Gewoon de juiste device kiezen voor het downloaden naar de toepassing.

free_electron

Silicon Member

Op 19 maart 2007 20:26:24 schreef fotoopa:
[...]
Voor een echt ontwikkelingsboard met alle toeters en bellen kun je nooit concureren met de bestaande professionele boards zoals een DE1 of DE2.

klopt. en das ook de bedoeling niet van mijn bordje.
bedoeling is om ene platformpje te hebben wat iemand toegang geeft tot deze technologie. voor de voorbeelden die ik geef is de peripherie die op het base board staat meer dan genoeg. ( ik kan de alarmklok met tijd/datum maken die het boek gebruikt als leidraad )

en meer dan de helft van de andere ios zijn beschikbaar via de andere connectoren.

prik de boel op gaatjesbord en hang eraan wat je wilt.

oke. cpld gaat eraf en we gaan voor een ep1c3 / ep1c6 oplossing.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

cyclone III is inderdaad uit maar wordt NIET ondersteund door de web edition van de software ....

hij is volgens mij ook nog nergens te koop. Kan hem niet bij één van de grote webshops vinden en hij staat ook niet op buyaltera.com. Vroeg me eigenlijk wel af wat zoiets moest gaan kosten (bv de EP3C5). Zouden ze het voor elkaar hebben gekregen hem net zo duur te houden als de EP1C6 of EP2C5.

Ik heb mij schema weer wat aangepast en hoop morgen avond of woensdag de PCBs te kunnen posten

Op 19 maart 2007 17:59:59 schreef free_electron:
cyclone III is inderdaad uit maar wordt NIET ondersteund door de web edition van de software ....

Waar heb je dat vandaan? Op altera's site staat:

Altera provides a complete Cyclone III FPGA design environment including:
* Free, Quartus® II Web Edition development software

Wel mooi spul trouwens, en tot 40k LE's in PQFP (al heeft de Cyclone II tegenwoordig ook al een 20k in PQFP).

enne ik gebruik cyclone 1 op het bord.

Waarom geen II eigenlijk?

free_electron

Silicon Member

ela ! Afkijker ! ( TSOp circuit )

en smijt die serieweerstanden naar de gates van die mossen maar weg . dat doet niks.

us pullups voor JTAg gaan naar 1v8 . dat moet 3v3 zijn.

gebruik uw lcd in 8 bit mode. je maakt het jezelf alleen maar verschrikkelijk moeilijk in die 4 bit mode. het initialiseren wordt een ramp ( je gaat een complexe state machine moetne maken om daardoor te kruipen ... )

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Wel grappig, eindelijk is er een groep gebruikers op CO die FPGA en CPLD designs met elkaar delen. surge_me en ik zijn zelf ook bezig met een fpga ontwerpje. Het wordt een cyclone II met sdram, usb2 en een zooi io-pinnen. Als het ontwerp klaar is zat het wel op het forum te vinden zijn. Ondertussen moet er ook nog gedaan worden qua huiswerk :(....

free_electron

Silicon Member

Op 20 maart 2007 00:06:10 schreef madwizard:
[...]
Waar heb je dat vandaan? Op altera's site staat:

* Free, Quartus® II Web Edition development software[/i]
Wel mooi spul trouwens, en tot 40k LE's in PQFP (al heeft de Cyclone II tegenwoordig ook al een 20k in PQFP).

[...]
Waarom geen II eigenlijk?

ik zat met stratix III in mijne kop ( kom net van seminar van altera waar ze het over stratix III hadden ). Daar heb je subscription voor nodig. Der komt beperkte support voor in de web edition.

"Stratix III devices are supported in the Quartus II software version 6.1. Download the Quartus II subscription edition software and start designing your Stratix III "

waarom geen cyclone II. zucht. ze komen altijd met dergelijke vragen als de boel al klaar is. das weer gans de boel ondersteboven gooien. en ik heb nog een ganse tros 1c3's liggen ...

bovendien is die 1c3 meeeeeer dan groot genoeg voor wat ik ermee wil doen. nog eens dit is geen 'ferrari' board wat ik aant maken ben. als je dat wil koop die borden van terasic of altera zelf, of het bord van elektuur. voor die prijs kan je ze zelf niet maken.

de bedoeling van mijn bord is puur om een springplank te vormen naar FPGa. alles uit het boek kan je er mee doen. en dan heb je nog 80 % van de array over om er je eigen spul in te proppen.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

@free_electron
[edit PS: lees nu pas je vraag op vorige pagina] Ja natuurlijk heb ik daar sourcecode van. Of je die mag hebben? Hmmmmm. OK dan. Wat je wel nodig hebt is het schema hoe de boel aan te sluiten. Ik zal eens kijken of ik een stukje schema voor je kan EMailen. Ik kan je Email adr. vinden in je profile?

Over 1C3 versus 1C6, heeft de 1C6 mijn voorkeur. Ik plemp daar dan een pavr (pipelined-AVR) in, en heb nog 1k LE's over voor "hardware" dingen.

Als je de Cyclone 1 dingen nog een paar hebt liggen, is het verdedigbaar om die te gebruiken. Doet het prima.

@miniK0bo Klinkt heel interessant. Hoe ver ben je? Mag ik meekijken? Wij hebben een tijdje zitten klooien, en vonden USB2 nogal lastig.

Als je een (eagle) schema hebt waar je redelijk vertrouwen in hebt wil ik wel een layout proberen te maken. Ik heb ook interesse om je te helpen (i.e. project versnellen) door bijvoorbeeld de printjes bij Olimex te bestellen en betalen....

[Bericht gewijzigd door rew op dinsdag 20 maart 2007 11:47:08

four NANDS do make a NOR . Kijk ook eens in onze shop: http://www.bitwizard.nl/shop/

ela ! Afkijker ! ( TSOp circuit )

Ik zal zorgen dat je bij de credits komt ;) Maar dan moet intel er eigenlijk ook bij (figuur 2

en smijt die serieweerstanden naar de gates van die mossen maar weg . dat doet niks.

dat had ik eerst meer toen zei fotoopa:

- in je segment drivers voor de display's zou ik een kleine weerstand in serie plaatsen. Ik zie niet graag I/O lijnen direct aan een gate van een fet liggen.

us pullups voor JTAg gaan naar 1v8 . dat moet 3v3 zijn.

dacht dat ik dat al veranderd had. |:( Bedankt voor het opmerken.

Over 1C3 versus 1C6, heeft de 1C6 mijn voorkeur. Ik plemp daar dan een pavr in, en heb nog 1k LE's over voor "hardware" dingen.

In de EP1C3 zou je een mmips kunnen stoppen, heb je ook nog iets van 1k over. Is alleen niet zo krachtig als een avr.

Op 20 maart 2007 00:09:24 schreef free_electron:

smijt die serieweerstanden naar de gates van die mossen maar weg . dat doet niks.

Ik had die aanbevolen. Puur functioneel zijn ze niet nodig maar ik kijk toch altijd iets verder. Bij de minste fout op je print zoals het solderen of bestukken kan er iets fouts lopen en dan kun je heel gemakkelijk je cyclone chip opblazen. Met kleine weerstanden maak je de schakeling robuster, ook voor dummy's geschikt. Op lange termijn zul je zien dat er veel minder uitval is bij gebruikers. Maar ja het kost je enkele kleine weerstandjes. Er is dus verschil tussen functioneel en praktisch!

edit:

Als je in vele gevallen geen fet ter beschikking hebt kan je nog uit de voeten met een gewoon transistor type bcxxx versie. Ik heb de oorlog meegemaakt ( in mijn wieg) en dan moest je soms andere dingen gebruiken maar de huidige jeugd kent dit al lang niet meer!

[Bericht gewijzigd door fotoopa op dinsdag 20 maart 2007 13:04:01

Op 20 maart 2007 11:53:06 schreef xantus:
[...]
In de EP1C3 zou je een mmips kunnen stoppen, heb je ook nog iets van 1k over. Is alleen niet zo krachtig als een avr.

Relaxed project, dat ga ik ff doorspitten. Bedankt.

Zopas de nieuwe Quartus versie 7.0 gedownload en geinstalleerd. Oef, weer 540 Mb, maar alles verloopt prima, de licentie blijft behouden van de 6.1 versie en nu zit er idd ook de cycloneIII in de web versie. Een kleine test geeft aan dat alles perfect compileerd. Mijn huidige batterijtester heb ik ook opnieuw gecompileerd en getest in de DE1 kit en alles werkt perfect.

Voor F_E, ik zou gewoon blijven zoals je aangeduid hebt bij de cyclone EP1C3 en EP1C6 versie. Voor de doelgroep dat je vooropsteld is dit perfect en weinigen zullen hem vol krijgen. Leverbaarheid zal voorlopig ook wel meest courant zijn.

Mijn eerste controller voor mijn vliegende beestjes te fotograferen bevat ook een EP1C3 versie en zit maar ongeveer 25% vol. Bevat ook keyboard, LCD display en de volledige laser sturing en timing van de camera. En dit is geen klein project meer.

Ohja, ik ondervind zopas het grote voordeel van een RC5 dekoder met de TSOP1738 op mijn board te hebben. Ik moet numerieke waarden ingeven voor de batterijtester nl het nummer van de batterij, maar ik heb geen numeriek keypad. Maar via een oude TV afstandsbediening kan ik nu gemakkelijk deze waarden ingeven. En omdat er nog veel meer codes inzitten kan ik veel van die andere codes gebruiken als commands. En dit alles met 1 I/O lijntje van de cyclone!

[Bericht gewijzigd door fotoopa op dinsdag 20 maart 2007 19:39:37

Re: weerstandjes naar de gates van de FETs zijn soms ook handig als je anders te snel schakelt: Ik heb eens gezien dat de boel crashte als er geen weerstand tussen zat. Ik geloof dat de FET bij het aanschakelen z'n Drain-Source spanning ZO snel probeerde lager te krijgen, dat de GND ter plekke zo snel en zoveel omhoog ging dat er dingen fout gingen.

Tactisch geplaatste ontkoppel-C en betere ground-leidingen zou vast ook wel geholpen hebben.

Als je ze echt niet wilt, klodder soldeer er op en je hebt een brug. Beter dan achteraf nog de weerstandjes er tussen proberen te frutselen.

four NANDS do make a NOR . Kijk ook eens in onze shop: http://www.bitwizard.nl/shop/

Op 19 maart 2007 23:38:07 schreef xantus:
[...]
Ik heb mij schema weer wat aangepast en hoop morgen avond of woensdag de PCBs te kunnen posten

Ik zie 4 drukknoppen en 8 instelschakelaars. Op mijn DE1 kit heb ik ook maar 4 drukknoppen en dat vind ik vrij weinig. Daar zitten 12 switchen op en switchen heb ik altijd over, drukknoppen altijd te kort. Ik gebruik nogal veel drukknoppen voor up/down functie's zoals instellen van een PWM, of meer algemeen instellen van registerwaarden.

De LCD controller, zoals F_E aangeeft zeker 8 bit mode plaatsen. Die buffer is niet nodig zeker niet als je de R/W pin vast aan de GND legt want dan staat de LCD als input. Die signalen zijn TTL compatiebel wat wil zeggen 2.4V voor high level. Je 3V3 output van de cyclone voldoet perect. Zoals ik je in mijn laatste e-mail heb aangegeven plaats ik 470 ohm weerstandjes in serie met de data lijnen en 47 ohm in de andere. Ik had nog zeners van 3V3 geplaatst aan de cyclone zijde van de data lijnen maar als je de R/W lijn toch vast legt zijn die ook overbodig. Dan kan trouwens nog altijd de interne diode naar VCC 3V3 de beveiling oplossen. Dit spaart je een boel plaats en een 245 driver.

[Bericht gewijzigd door fotoopa op dinsdag 20 maart 2007 19:52:40

ok zal er iets meer drukknopjes bij zetten.

En F_E, op jouw schema heeft D6 een max spannings van 1.5V en voor zover ik heb kunnen vinden zijn er geen LEDs voor onder de 1.7V.

De zwaarste beperking bij F_E zijn ontwerp wordt nu dat hij de LCD display geschrapt heeft tov zijn eerste versie. Als ik nu de evolutie zie van mijn batterij tester zie dan kan dit niet meer uitgevoerd worden met zijn basis versie omdat er veel te weinig display is. Jammer....want een LCD display toont heel veel informatie voor weinig geld.

@xantus,
Die paar extra knopjes zullen goed doen!

Hij is eindelijk af geroute :). Was een hele klus, maar het zit op een halve eurokaart. Ik zal dadelijk alles nog eens nakijken en uitrekenen en dan zal ik het posten.

free_electron

Silicon Member

Op 21 maart 2007 21:53:19 schreef xantus:
ok zal er iets meer drukknopjes bij zetten.

En F_E, op jouw schema heeft D6 een max spannings van 1.5V en voor zover ik heb kunnen vinden zijn er geen LEDs voor onder de 1.7V.

rode led is 1.4 volt ...
je kan perfect ledjes laten brandne met ene 1.5 volt batterijtje ...

ik gebruik leds in PLCC2 verpakking (TOPLED van siemens of osram ) soldeert lekker en geeft vele licht.

@fotoopa : oke ik zet die LCD er terug op.
de reden dat ik dat eraf gehaald heb was voor de MAXII.

ik heb absoluut de LED displays nodig voor mijn boek. dus die gaan er niet af.

[Bericht gewijzigd door free_electron op woensdag 28 maart 2007 16:54:11

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op 28 maart 2007 16:48:46 schreef free_electron:
[...]
@fotoopa : oke ik zet die LCD er terug op.
de reden dat ik dat eraf gehaald heb was voor de MAXII.

ik heb absoluut de LED displays nodig voor mijn boek. dus die gaan er niet af.

Dat is prima, keuze is geen probleem. Maar al mijn echte toepassingen gebruiken meerdere uitlees waarden en dan past een LCD daar beter voor!

Huidige batterij tester 4 batterijen = 4 display lijnen waar je konstant de spanning kunt aflezen.
Gebruikt nu 61440 interne rambits en iets boven de 1200 LE's en dat past perfect in een cyclone EP1C6. Zelfs een EP1C3 zal nog gaan mits de bufferdiepte van de ram 1024x60bit iets kleiner te nemen.

oja, moest je nog plaats overhebben voor een max232 driver dan zou het ook niet mis zijn. Data wordt nu serieel doorgestuurd naar de PC op 115.200 baud, kan zelfs realtime gedurende het meetproces. door de ontlaad stroom te sturen zie je de invloed van de interne weerstand en de status van de batterij in het diagramma van het spanningsverloop!

Anders neem ik die driver op in mijn schema van de tester zelf, dat is ook een oplossing, ik hoef enkel 2 lijntjes meer door te trekken

[Bericht gewijzigd door fotoopa op woensdag 28 maart 2007 17:51:45

free_electron

Silicon Member

oeie ene met 4 lijnen. dat past niet op het bord. veel te groot.

2x16 is max.
en rs232 ... der zit een usb poort op. blazen maar.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Op 29 maart 2007 00:29:16 schreef free_electron:
oeie ene met 4 lijnen. dat past niet op het bord. veel te groot.

2x16 is max.
en rs232 ... der zit een usb poort op. blazen maar.

Met een beetje handigheid gebruik je een kleine strip ( flatkabel) en bouw je hem iets uit. Dan kan je ook een 4x20 char gebruiken. Als de 14 pinnen maar voorzien zijn is het super.

Die USB moet ik dan even proberen, ik kan niet programmeren aan de PC zijde maar hoop net zoals voor een gewone RS232 lijn wel iets te vinden die een file kan binnen nemen en op de schijf plaatsen voor verder bewerking.

free_electron

Silicon Member

die usb gedraagt zich als een seriele poort.
inpluggen in de pc. hyperterminal open en klaar. ( of je favoriete terminal programma. )

langs de fpga kant is het ook simpel : 8 bit poort met een read en write strobe. en er zijn twee extra pinnen die aangevne of er iets binnekomt en of de output buffer vol is.

kind kan de was doen. tis simpeler dan een lcd display ( je moetet niet inialiseren

ik hgebruik ftdi245 op het bord. zo hoef je ook gene uart te instantieren in de fpga. spaart weer cellen.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM