Oproep : Van 0 en 1 tot FPGA

free_electron

Silicon Member

Op 5 juli 2006 18:10:43 schreef beertje_01:
op school hebben we zo kennis gemaakt met de max7000 (of
programma was gewoon max plus II
in ieder geval is leuk bordje. zaten 2 4 segments op wat

inderdaad. quartus is opvolger van Max-plus. manier van werken is hetzelfde. alleen kan quartus nog veel meer dan max+
en de MAX-II is de opvolger van die MAX7000 familie. daar kan je veel meer mee. de MAX-II is ene kruising tusen cpld en fpga.

voor altera bestaat er ook een usb blaster. die is wel duur , maar er bestaan al 'klonen' van .. das een van de dingen in het boek : maken van een usb blaster kloon.

[Bericht gewijzigd door free_electron op woensdag 5 juli 2006 18:28:03

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

zo, en nu mogen jullie weer :p

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
KT88

Overleden

Jemig Free, je weet zelfs deze oude buizenclown enthousiast te maken!

Als ik nu maar eens begreep wat al die termen betekenden......
Is't een boek voor de echte beginner, of wordt basiskennis van programmeertalen en moderne digitale structuren verondersteld?

Mijn kennisniveau houdt wel zo ongeveer op bij schuifregistertjes en JK-flipflops...... :+

... en dat is moderator ..... schande!
Hee, wie heeft dit erbij gezet?http://zelfbouwaudio.nl/forum/images/smiles/icon_eek.gif >:-)

[Bericht gewijzigd door KT88 op woensdag 5 juli 2006 19:15:08

" Ratings are for transistors.....tubes have guidelines" - www.audioconsultancy.nl -

Mocht je nog een boek gaan schrijven.

Bouw je eigen microcontroller uit... transistoren :)

Zoiets: Eerst een poort uit fetjes dan uit die poorten weer flipflops en daaruit schuifregisters en geheugen, etc.
Nu weet ik niet hoe je EEPROM of Flash uit poortjes (torren) kan opbouwen. Tja? http://home.arcor.de/wbservice/smilies/tja.gif

RES

Op 5 juli 2006 17:57:16 schreef Jeroen Boere:
verder: mijn complimenten, dat je hier de tijd voor weet te vinden. of heb jij 32 uur in een dag zitten, zoja, laat me weten hoe ik er aan kan komen ;)

Volgens mij een volgens het motto:

Er zitten 24 uren in een dag en dan hebben we nog de nacht. :+)

@free ...
Ik ben benieuwd naar het boek. Mocht ik nog ideeen hebben voor je zal ik het zeker laten weten.

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.
free_electron

Silicon Member

Op 5 juli 2006 18:46:40 schreef KT88:
Jemig Free, je weet zelfs deze oude buizenclown enthousiast te maken!

daarvoor dient net dat boek !. al die termen worden uitgelegt. de enige voorkennis die vereist is, is nederlands kunnen lezen.

Mijn kennisniveau houdt wel zo ongeveer op bij schuifregistertjes en JK-flipflops...... :+

dan zit je al 1/4 van het boek

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 5 juli 2006 18:50:58 schreef RES:
Mocht je nog een boek gaan schrijven.

Bouw je eigen microcontroller uit... transistoren :)

Zoiets: Eerst een poort uit fetjes dan uit die poorten weer flipflops en daaruit schuifregisters en geheugen, etc.
Nu weet ik niet hoe je EEPROM of Flash uit poortjes (torren) kan opbouwen. Tja? [afbeelding]

hehe. ook DAT zit in dit boek. in het hoodstuk over d elogishce families neem ik ook een kijkej achter de schemren en toon ik ohe een pport gemaakt wordt uit transsitoren. diuoden en weerstanden. ( afhnakelijk of je ECL , RTL DTL , TTL of CMOS gebruikt. inwendige schemas worden getoond voor een paar simpele poorten ( AND OR en NOT EN UITGELEGD ! )
ook de echte opbouw van bepaalde poorten komt aan bod. bijvoorbeeld de exor en exnor heeft een grote verassing in petto. men tekent dat altijd als een combinatie van and,or en not poorten maar in werkelijkheid wordt dat NIET zo gemaakt. de reden is het optreden van RACE condities. als je een EXOR op die manier zou bouwen dan krijg je glitchen aan de uitgang. Stel dat bij een normale exor beide ingangen van 0 naar 1 gaan. dan glitcht de uitgang als je het circuit bouwt met losse and , or en invertoren. het ene signaal loopt sneller dan het andere en je krijgt kortstondig een spike aan de output. een echte EXOr( 7486 ) heeft dat euvel niet omdat de opbouw met transistoren er COMPLEET anders uitziet dan wat je zou verwachten.

ook komen er in het boek een aantal microfotos van echte chips alsook de layouts . geeft je een idee hoe zoeits nu eigenlijk in elkaar zit

aangezien je de schema van de basispoorten hebt en een idee hoe cel layourt werkt kan je in princiep je eigen bibliotheek van flipflops en zomeer op silicium tekenen na het lezen van dit boek.

en als je daar software voor wilt : die is gratis google op 'LASI'. de layout data die daar uitkomt kan je zo door MOSIS halen ( das ene project om universiteiten prototype chips te laten fabben. ). die software is wel effe wennen ... chip layout is geen bord layout ...

en op het einde van het boek map ik ene 8051 core in ene fpga en laa tik die ene looplichtje maken ... je hebt dus alles ... GO !

[Bericht gewijzigd door free_electron op woensdag 5 juli 2006 20:59:26

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

wel het lijkt me ook wat.
heb hier nog een byteblaster liggen van school op een printje. alleen nog de kabel kopen.

My Tube is bigger then yours
KT88

Overleden

Op 5 juli 2006 20:42:17 schreef free_electron:
daarvoor dient net dat boek !. al die termen worden uitgelegt. de enige voorkennis die vereist is, is nederlands kunnen lezen.

Mooi! Als je nog een proofreader nodig hebt, bij dezen bied ik me aan!

" Ratings are for transistors.....tubes have guidelines" - www.audioconsultancy.nl -
free_electron

Silicon Member

ik weet dat IBM indertijd speciale buizen had om flipflops te maken voor hun computers ..
weet jij daar toevallig iets over. ware mooi om ook daar een paraafje over te schrijven met fototje erbij.....

als je datasheetje mocht hebben , of applicatieschematje .... speak now or be forever silent ...

de eerste logische familie : VTL (Vacuum tube logic )

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Is er al bekent wanneer het boek uitkomt want het lijkt me wel wat!

Zoekt en gij zult vinden, vindt gij niet dan is het zoek
KT88

Overleden

Philbrick en Eniac, USA:

http://ftp.arl.mil/~mike/comphist/eniac-story.html
http://www.philbrickarchive.org/
http://www.triodeel.com/images/gaprk2w1.jpg
http://www.triodeel.com/images/gaprk2w2.jpg

Relais rekenmachines: de Arra, Zebra, Armac, Ferta, Peter:
http://www.cwi.nl/pr/press-releases/2002/pb-ARRA-0602.html
http://www.iisg.nl/collections/ahf/10675.html

Electrologica, later Philips:
http://www.electrologica.nl/

Ik heb zelf weinig on file hiervan, ken wel wat Amerikanen die hier wat meer over zouden kunnen vertellen.
Ook Bob Pease heeft weet van Philbrick e.d., mail hem eens !

" Ratings are for transistors.....tubes have guidelines" - www.audioconsultancy.nl -
free_electron

Silicon Member

das analoge computer spul. ik zoek specifiek dat spul van ibm. dat warens speciale dubbel of zelfs triple triodes

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
KT88

Overleden

Ik heb dat wel eens voorbij zien komen in rec.audio.tubes, zal de archieven eens nazoeken. Wordt vervolgd.

Overigens waren die ARRA etc, dingen wel degelijk binair.

- edit -
Dit , dit, en dit

Moest ze wel even zo neerzetten, die Google URL's zijn zo vreselijk lang.
Flip flops werden gewoon gemaakt met een dubbeltriode, net als je dat doet met twee transistors.
Philips had er ook zoeen, de E90CC. Werd als triggerbuisje gebruikt voor die E1T telbuizen, trachotrons.
Geheugens gingen met ringkernen of tape, maar dat wist je ook wel.

Zijn complete threads uit RATubes, moet je zelf maar even uitfilteren hoe en wat.
De meeste van die mannen zijn nog steeds actief, of daar of in sci.electronics .

[Bericht gewijzigd door KT88 op woensdag 5 juli 2006 22:24:57

" Ratings are for transistors.....tubes have guidelines" - www.audioconsultancy.nl -

waren dubbel triodes dacht ik. werden dan ingezet als nand poorten. aangezien daar alles mee gemaakt kon worden. lampen konden zo direct vervangen worden indien stuk. zou zelfs wel is kunnen dat het 6sj7 dubbel trioden ofzo waren of iets in die aard. heb dat eens ergens gelezen. miss heb ik nog een pdf met die informatie maar dat zal wel even zoeken worden.
heb daar voor mijn eindwerk is achter gezocht. meer bepaald achter de spanningen die als logisch 0 en 1 gebruikt werden.
was voor mijn eindwerk over buizen toen. heb daar een flipflop in verwerkt en ik denk zelfs ook een nand. ga daar sebiet en morgen eens achter zoeken.
ahja mail bob pease daar idd ook eens over.
en proeflezer dat is nog niet zo'n slecht idee :)

My Tube is bigger then yours

Hmmm als ik dit zo allemaal lees wordt het een zeer interessant boek. Dit ga ik zeker volgen!

Op 5 juli 2006 06:08:43 schreef free_electron:

2) zijn er bepaalde zaken die je behandelt wilt zien ?

Misschien is de afsluiting te abrupt vind ik. Ik zou liever een wat complexer "eind projectje" zien. Die MAX II is wel ideaal om met de userflash een klein programmaatje in te proppen en een soort processortje te fabrieken. Het is de droom van velen die beginnen met FPGA's. Een processortje maken die dan wat leds knipperen, daarna nog wat leuke uitbreidingen.

Dus misschien een inleiding in hoe je projecten beetje indeelt in modules, module opbouw. Iedere module een CLK en RESET pin geven (dat doe ik uit gewoonte om alle statemachines te resetten). Een beetje high level design tips/truuks kan geen kwaad. Het klokje idee kan je zo complex maken als je wil natuurlijk. Maar het processortje is misschien ook niet slecht?

Ik biedt me bij deze aan als proofreader.

A byte walks into a bar and orders a pint. Bartender asks him "What's wrong?" Byte says "Parity error." Bartender nods and says "Yeah, I thought you looked a bit off."

Houdt het in simpele woorden en begrijpbaar (ik weet het, dat is moeilijk, zie mijn PIC Basic cursus).
Als je met veel vaktermen gaat strooien, wordt je lezerspubliek kleiner.
Vaktermen kan wel, maar leid ze langzaam in het verhaal in (eerst in "boerentaal" en wat de vakterm daarvoor is, daarna pas de vakterm verder gebruiken).

Ik kan je wel vertellen dat het schrijven van een duidelijk boek, veel energie kost.
Verkijk je er niet op!

Ohm sweet Ohm | www.picbasic.nl

Free,

Ik heb geen idee wat ik in je boek zou willen zien. Ik kan wel zeggen dat ik er naar uit kijk. Ik ben nu nog met die Xilinx dingen bezig en dat boek zou een leuke inleiding in dat Altera spul kunnen zijn :)

Succes gewenst!

Op 5 juli 2006 23:37:34 schreef Frits Kieftenbelt:
Houdt het in simpele woorden en begrijpbaar (ik weet het, dat is moeilijk, zie mijn PIC Basic cursus).
Als je met veel vaktermen gaat strooien, wordt je lezerspubliek kleiner.
Vaktermen kan wel, maar leid ze langzaam in het verhaal in (eerst in "boerentaal" en wat de vakterm daarvoor is, daarna pas de vakterm verder gebruiken).

Ik kan je wel vertellen dat het schrijven van een duidelijk boek, veel energie kost.
Verkijk je er niet op!

Je moet eens free_electron's post's lezen, dan zou je zo'n onzin niet uitslaan (nofi). Als hij iets uitlegt, dan weet je niet alleen wat je wou weten, maar heb je meteen een volledige check-up hoe het zit, en tis nog verstaanbaar ook.

AKA Naftebakje @Tweakers.net --- Zonder dwarsliggers geen spoor

Op 5 juli 2006 23:37:34 schreef Frits Kieftenbelt:
Houdt het in simpele woorden en begrijpbaar (ik weet het, dat is moeilijk, zie mijn PIC Basic cursus).
Als je met veel vaktermen gaat strooien, wordt je lezerspubliek kleiner.
Vaktermen kan wel, maar leid ze langzaam in het verhaal in (eerst in "boerentaal" en wat de vakterm daarvoor is, daarna pas de vakterm verder gebruiken).

Ik kan je wel vertellen dat het schrijven van een duidelijk boek, veel energie kost.
Verkijk je er niet op!

Ik moet zeggen dat ik het boek over Visual Basic wat via CO te downloaden is erg duidelijk vond en goed te lezen. Het boek van Free_electron dat via Elektuur uitgegeven is heb ik nog niet besteld maar staat wel op mijn todo lijstje.

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.

Misschien een projectje als dit ja de modplayer

het speelt moderators af:+

Een atx voeding is geen labvoeding, en je kunt er geen auto mee starten ook

Ik wist niet dat FreeElectron al eerder boeken had geschreven.
Hij heeft er dus al ervaring mee!

Ohm sweet Ohm | www.picbasic.nl
free_electron

Silicon Member

Op 5 juli 2006 23:37:34 schreef Frits Kieftenbelt:
Houdt het in simpele woorden en begrijpbaar (ik weet het, dat is moeilijk, zie mijn PIC Basic cursus).
Als je met veel vaktermen gaat strooien, wordt je lezerspubliek kleiner.
Vaktermen kan wel, maar leid ze langzaam in het verhaal in (eerst in "boerentaal" en wat de vakterm daarvoor is, daarna pas de vakterm verder gebruiken).

Ik kan je wel vertellen dat het schrijven van een duidelijk boek, veel energie kost.
Verkijk je er niet op!

hehe .dat is de bedoeling aj. Maar ik wijk in mijn tekst af van wat gangbare nederlandstalige literatuur doet.

De engelse termen leg ik 12 keer uit in het nederlands maar gebruik dan verder die engelse benamingen. als je later meer gaat lezen in andere boeken kom je anders voor een zwaar probleem : je kent de terminologie niet.

en dat is soms ene zwaar probleem. je komt ergens in een tekst termen tegen zoals glitch en jabber en runt pulsen en ground bounce en instantieren , edge triggered en dergelijke. daar zijn perfect nederlandstalige termen voor. maar vind maar eens een boek waar over 'flankgestuurde' signalen spreekt ... of 'grond terugkaatsing'

ook andere zaken worden van tafel geveegd. Karnaugh wordt uitgelegd door middel van een dudielijk voorbeeld, alsook QM maar aan het einde van dat hoofdstuk wordt ook klaar en duidelijk gesteld dat die dingen 1) achterhaald zijn 2) sterk gelimiteerd. 3) als je fgpa progt dat je jezelf van minimalisatie geen fluit moet aanterekken : de synthesizer doet dat , en dat je beter schema's tekent of code schrijft die eenvoudig te begrijpen valt. liever 10 lijnen meer code dan 1 cryptisch commando waar je telkens voor terug in de programmers manual moet duiken om je de juist syntax te herinneren
4) er veel simpeler manieren zijn om logische schakelsystemen te bouwen. een van die methode is matrix logica. Ik heb het kind die naam gegeven ( het heeft geen officiele naam ) maar is een werkwijze waar je drie stappen doorloopt en langs de andere kant rolt er een kan ten klaar werkend schema uit wat je zo kan omzetten in poortjes. ( eigenlijk hoef je niks om te zetten. je hebt poortjes. punt uit. ) Die matrix logica is een systeem wat door bijna alle chipdesigners gebruikt wordt. en je vindt het in geen enkel boek ... tools of the trade zeker ....

ditto voor complexe vergelijkingen uit te mappen : multiplexer en ingang aan grond vfo voeding strappen. op 1.2.3 is je complexe logische functie gemaakt met 1 ictje in plaats van 7.

dit boek belicht voor 1/4 theorie, de rest is puur : hoe krijg ik dit ding op een bordje en laat ik ledjes branden bij wijze van spreken. en vooral die praktische hands-on technieken worden belicht. der zijn al genoeg boeken met formules en booleaanse algebra.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

-dat van dat klokje vind ik zeker geen slecht idee.
-processor bouwen heb ik geen ervaring mee, zelfs geen ervaring met een processor.
-een klein "game" kan ook leuk zijn.
-miss ook iets inverband met PC gebracht

als dat boek er is zal deze zowiezo op een lijstje komen. proeflezen wil ik ook wel doen anders maar swat eerst het boek efkrijgen zeker. zal wel veel tijd vragen

My Tube is bigger then yours