Oproep : Van 0 en 1 tot FPGA

Who 51 hoofdstukken (als 17 1/3 is....) maar ik mis nog hoofdstuk 1?! en mischien is het ook leuk om in je hoofdstuk van Quartus een stukje te schrijven over de scriptjes die je voor Quartus kunt schrijven (bij voorbeeld met 1 druk op de knop compileren en programeren, dat kon toch?)

free_electron

Silicon Member

das een idee ja.
maar das kwestie van TCL te leren

der komen geen 32 hoofdstukken meer bij hoor.
wat ik bedoel met 13 is dat het voor 1/3 geschreven is. ( somige van die hoofdstukken zijn nog leeg.
maar der komen nog wel ene pak topics bij.15 16 en 17 moetn nog verder uitgediept worden
der moet ook nog iets over systeemverificatie komen ne nog ene paar andee hoofdstukken

chapter 1 is voorwoord en inleiding ( is een probleme met Word. ik moet uit vlooien ho ik dat buiten de cahpte rnummering krijg

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

@free_electron, je boek wat je aan het schrijven bent lijkt een beetje op Digital Fundamentals van Floyd (ISBN 0-13-197255-3). Daar wordt ook alles stap voor stap uitgelegd alleen in het engels. Jouw boek wordt dus ook zo'n 900 pagina's? :P

Hieper de piep!

Op 8 juli 2006 17:32:49 schreef Roel:
@free_electron, je boek wat je aan het schrijven bent lijkt een beetje op Digital Fundamentals van Floyd (ISBN 0-13-197255-3). Daar wordt ook alles stap voor stap uitgelegd alleen in het engels. Jouw boek wordt dus ook zo'n 900 pagina's? :P

Hehe ik denk het niet hor, dat boek is zoals dat boek heet in "Hackers" "Ugly Red Book that won't fit on a shelf."
Echt ik vind dat ze boeken op A4 formaat of groter moeten verbieden. Maar goed, in digital fundamentals, echt geen slecht boek wordt echt alles uitgelegt ja. Maar dat wilt niet zeggen dat je wat met deze info kan. Ik hoop dat F_E er een toegankelijk boek van maakt, ik doel op het feit dat er zoveel informatieve boeken zijn die je eerste 3x moet doorspitten om te snappen waar het over gaat. Laat staan dat je je kennis kan gebruiken.

[edit] en digital fundamentals is gedrukt op bijbel papier :S grrrr koffie is not done, laat staan ff perongelijk een bladzijde iets te hard omslaan

mov eax, 0x666

Op 6 juli 2006 16:57:19 schreef free_electron:
[...]

dat zit ook in de originele omvormer. MAAAAAR er zijn een aantal verschllen. Die klonen kunnen niet in AS mode draaien. heb je alleen nodig om de config proms te progrren en is eigenlijk maar ene klein mankement.

Die USB blaster kan die ook andere JTAG devices programeren (Ti - MSP430, INTEL - Xscale, Xilinx -Spartan 4?) En zo ja via welk programma? of moet je daarvoor toch maar bij de par. poort blijven? (JAM STAPL player van Altera ondersteund die usb blaster (nog) niet....).

free_electron

Silicon Member

neen. de USB blaster is altera specifiek. tis te zeggen. het is ene volwaarde jtag blaster maar het werkt alleen met hun software.

je hebt wel toegang tot de driver ( gewone ftdid2xx driver )
dus je kan zelf aan de slag.

veel zit er niet in hoor.
tuis eigenlijk een ftdi245 waar ene klein CPLD met kristal oscillator achter staat. die cpld checkt of er iets in de fifo staat , leest een byte en duwt dat naar de fpga.
op die manier kunnen ze het transport versnellen. Bitbanging op usb is traag. ( je hebt de latency aan je broek by elk byte die je stuurt) in de usb blaster hebben ze dat opgelost door bulk transport te doen met frames die juist in het receive fifo passen. ze dumpen dus ene blok 'bitbang' informatie als bytes. de FTDI geeft aan dat RX niet meer empty is en de cpld gaat aan de slag.

de readback heb ik nog niet uitgevlooid. kheb er nog maar half uurtje kunnen mee spelen. ze hadden de LA en de CATC nodig opt werk . ik moet beetje mikken dat ik beide machienes heb. de CATC is niet beschikbaar dit weekend , die draait ergens bij ene klant. weet niet wanneer ie terug is. ( voor de niet weters : LA is logic analyser en de CATC is ene USB protocol analyser / generator )

trouwens geneen van die jtag dingen is universeel. zelfs die op de printerpoort niet. bij de ene zit clock op pin 3 en bij de ander clock op pin 7 enzovoort.

[Bericht gewijzigd door free_electron op zondag 9 juli 2006 16:57:17

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Hmm ik heb nog een beetje in de ronte gezocht met google en hier staat er een met idd een ftdi chipje en een cpld.

Zo die zomaar kunnen werken? ik heb nog geen verhalen van mensen gelezen die hem gemaakt hebben.

free_electron

Silicon Member

hmmm. ik heb die effe rap gedownload en gesimuleerd.. dat klopt toch niet echt met wat de echte blaster doet hoor.

kzal morgne op twerk eens vergelijken met wat ik meet op de analyser

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Ik weet niet of het al vermeld is, of misschien staat het bij:

Op 8 juli 2006 04:47:04 schreef free_electron:
(...)
16.4.2 : Verbinden van componenten 16.4-84
(...)
16.4.4 : Bussen 16.4-84
(...)

Maar misschien kun je ook een stukje wijden aan I²C ?

The only thing 2 engineers will agree upon, is that the 3rd one is an idiot. - Shakespeare was a mathematician : root(4*b^2) = 2b or -2b

Op 9 juli 2006 23:49:09 schreef kluyze:
Ik weet niet of het al vermeld is, of misschien staat het bij:
[...]Maar misschien kun je ook een stukje wijden aan I²C ?

tja dat zijn er veel meer waar je iets over zou moeten zeggen

free_electron

Silicon Member

Op 9 juli 2006 23:49:09 schreef kluyze:
Ik weet niet of het al vermeld is, of misschien staat het bij:
[...]Maar misschien kun je ook een stukje wijden aan I²C ?

damn das een goed idee.
ik had nog gene voorbeeldje waar ene schuifregister in zit ... ( in de klok zitten gene )
ik kan een i2c analysertje maken. das simpel en begrijpelijk uit te leggne. en tis nog nuttig ook.
kzal ene i2c slaveke maken.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Hallo free_electron,

Leuk initiatief dat boek.

Ik heb even naar je Hoofdstuk indeling zitten kijken.
Het zag er geheel generiek uit totdat je begon met een manual voor quartus.
Dit soort tools zijn aan verandering onderhevig. Wil je elke keer je boek weer aanpassen ?

Ik zou slechts melding maken van ABEL of Cupl als voor lopers van HDL's. Het zijn , naar mijn idee, oude en bijna ongebruikte talen.

Er zijn denk ik ook mensen hier die geen kosten willen maken voor SW end. Kan je een opsomming maken voor gratis (GNU/Linux) tools ? (FreeHDL, GHDL, GTKWave, IVI etc etc)

Wat voor sommigen ook interessant kan zijn is het programmeren van FPGA's in C (oid).

Kijk ook even naar :

"The Design Warrior's Guide to FPGAs"
Geweldig boek !

mvg

ST

Op 10 juli 2006 04:27:34 schreef free_electron:
[...]

damn das een goed idee.
ik had nog gene voorbeeldje waar ene schuifregister in zit ... ( in de klok zitten gene )
ik kan een i2c analysertje maken. das simpel en begrijpelijk uit te leggne. en tis nog nuttig ook.
kzal ene i2c slaveke maken.

Leuk, dan kan je de alarmtijd van je klokje via i2c zetten.

Een altera I2C slave lijkt me wel handig. Scheelt me straks een hoop gepuzzel voor me projectjes :-)

meten is weten, weten is meten, maar hoe kan je weten wat je allemaal moet meten en weten.
free_electron

Silicon Member

Op 10 juli 2006 07:45:53 schreef blu_line:
Hallo free_electron,

Leuk initiatief dat boek.

Dit soort tools zijn aan verandering onderhevig. Wil je elke keer je boek weer aanpassen ?

ABEL of Cupl

Er zijn denk ik ook mensen hier die geen kosten willen maken voor SW end. Kan je een opsomming maken voor gratis (GNU/Linux) tools ? (FreeHDL, GHDL, GTKWave, IVI etc etc)

"The Design Warrior's Guide to FPGAs"
Geweldig boek !

abel en cupl haal ik even aan maar niet in detail. Cupl wordt nog steeds volop gebruikt hoor. heel veel van die lattice dingen , Gal's , Mach's , de uPDS's van ST en dergelijke worden in Cupl gemaakt.

manual quartus : de basis van dat ding is al 10 jaar hetzelfde. schema maken , vhdl schrijven , verilog schrijven , project bouwen , synthetiseren en compileren en dan waveforms aan maken en simuleren. het is een quickstart guide van een 10 tal baldzijden over hoe je aan de slag gaat met deze tool. als je dat ding de eerste keer opstart is het duizelingwekkend door al de menus en knopjes. zonder handleiding ben je een week bezig. Met die paar bladzijden van mij weet je het belangrijkste staan en als je er enemaal ene paar voorbeeldjes mee gemaakt hebt dan vindt je de weg wel.

SW kosten : Quartus en ISE zijn gratis en hebben meer functionaliteit aan boord dan je ooit zult gebruiken. Wij lopen grote ontwerpen daarop en heel vaak is de 'web edition' meer dan voldoende en die is gratis.

Geef eens een lijst van die linux tools. Ik volg die wereld niet echt. freehDL is een vhdl simulator en geen sytnhesizer. Simulatie op HDL niveau is een SLECHT idee. je kan er weliswaar je code mee simuleren maar je moet geweldig opletten wat er gebeurt na synthese. veel dingen die perfect simuleren op HDL niveau blijken na synthese naar gete level niet meer te werken. het wordt nog erger eenmaal het uitgemapt is op een fpga, om over siliicum maar te zwijgen. wij doen nooit simulatie op hdl level. er wordt wel een case si,mulatie gedaan om te zien of de vhdl syntactisch correct is. maar daarna is het : synthese naar gate level , place en route en parameter en timing extractie en DAN gaan we simuleren. en heel vaak moet de boel dan getuned worden door dummy gates te inserten omdat de timing niet klopt, of omdat een net niet 'sterk' genoeg is. Een van die voorbeelden in mijn boek is een adder. volgens de top level simulatie is er nieks aan de hand. je moet die eens op gate level simuleren .. die uigangen glitchen gelijk zot.

GTKwave ... waveform viewer. zit ingebakken in quartus. je kan er weliswaar ander formaten zoals synopsis mee bkijken maar dat is een tool die ver buiten het budget valt van 99% van de mensen( EN bedrijven) .

De tools die je daar opnoemt zijn eigenlijk niet nuttig voor het doel van dit boek aangezien je met geen enkel kan effectief gate level simulatie doen , noch een fusemap aanmaken.

En dat is de uiteindelijke bedoeling: verterekkende van geen enkele kennins van digitale techniek op het einde een circuit kunnen bouwen (schema, verilog of vhdl ) , synthetiseren Simuleren ( OP gate niveua met inachtname van alle device timings ) , vertrouwd zijn met de problemen van 'echte' logica in tegenstelling tot 'papieren logica', en je correct werkende circuit in een FPGA duwen.

Ik ken dat boek. ( staat hier in onze bibliotheek. ) De meeste mensen hier zijn er niet tevreden over. ik heb er eens vluchtig door gebladerd, kan er mij dus niet over uitspreken. Ik moet er wel bij vertellen dat ALLES hier Verilog is. VHDL wordt niet gebruikt. De meest gebruiken hier een boek van een of andere italiaan. zeer interessant ding. ik heb hem gekocht voor mijzelf nu ook.

[Bericht gewijzigd door free_electron op maandag 10 juli 2006 17:56:32

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

He free, Je zou nog een lijstje maken van wel goede verilog/FPGA boeken, voor de meer gevorderde progger ;)

hey, leuk dat je een boek gaat schrijven. Mits de prijs betaalbaar is heb ik er intresse in. Iets waar ik wel meer van wil weten is linux in combinatie met arm proccesors. Ik weet echter niet of dit al voorgesteld is aangezien ik niet dit hele topic heb gelezen.

Ook denk ik dat dit misschien niet veel te maken heeft met je andere hoofdstukken maar toch:)

suc6

Op 10 juli 2006 07:45:53 schreef blu_line:

Wat voor sommigen ook interessant kan zijn is het programmeren van FPGA's in C (oid).

dat programeren in C werkt nog echt niet (als je bedoelt, proggen in c en dan synthese draaien.

De vorm van abstractie is er gewoon niet in VHDL/Verilog (waarbij die eerste geen code accepteerd die je op twee manieren kunt uitleggen, wat in c toch wel vaker voorkomt, laat maar eens twee compilers werken op dezelfde code er komt nooit precies dezelfde machiene code uit). In VHDL moet er altijd het zelfde uitkomen (was ooit een eis van de militaire industrie die VHDL veel gebruikt, die willen geen misinterpretaties hebben), er zijn wel talen die het kunnen: System C, dat lijkt erg op VHDL, het is niet meer dan een extra library voor ansi C. En dan heb je er nog een maar daar weet ik ff zo gauw de naam niet van , echter dat is ook een taal die op C lijkt maar toch bijna VHDL is.

Op 6 juli 2006 08:19:50 schreef free_electron:
[...]

dat is iets voor iemand anders. veeeeeeeeeel te veel , zoniet puur , wiskunde. das niet voor mij... blablabla

kun je die niet op CO introduceren :)

LOL ik ga een school beginnen twee boeken en ik ben klaar.

deze en het basicboek.

als het boek uit is wil ik het ook hebben, een probleem is wel dat mijn verlanglijstje alleen maar groter word :(

[Bericht gewijzigd door WilToyo op maandag 10 juli 2006 23:16:22

Maak me niet gek, ik ben al gek.
free_electron

Silicon Member

Op 10 juli 2006 21:21:20 schreef Yodar:
hey, leuk dat je een boek gaat schrijven. Mits de prijs betaalbaar is heb ik er intresse in. Iets waar ik wel meer van wil weten is linux in combinatie met arm proccesors. Ik weet echter niet of dit al voorgesteld is aangezien ik niet dit hele topic heb gelezen.

Ook denk ik dat dit misschien niet veel te maken heeft met je andere hoofdstukken maar toch:)

suc6

dat valt ver buiten het bereik van dit boek.
trouwens een ARM core kan je niet zomaar te pakken krijgen. dus dat valt heel ver buiten het bereik van de amateur ( en kmo .al eens gekeken wat een ARM licentie kost tegenwoordig ?). en operating systemen porteren is softwar een heeft niets te maken met het ontwerpen van logische circuits met poorten

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM
free_electron

Silicon Member

Op 10 juli 2006 22:58:54 schreef surge_me:
[...]
er zijn wel talen die het kunnen: System C, dat lijkt erg op VHDL, het is niet meer dan een extra library voor ansi C. En dan heb je er nog een maar daar weet ik ff zo gauw de naam niet van , echter dat is ook een taal die op C lijkt maar toch bijna VHDL is.

system C lijkt heel erg op Verilog.
VHDL is gebaseerd op de semantics van ADA.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Om het nog een beetje levend te houden heb ik een schema opgeduikeld van een evaluatie bord van Altera
hier kun je hem vinden. Hier zit een geintegreerde usb blaster op (zie pagina 11 van de PDF).

T schijnt van altera te komen, zeker weten doe ik het niet, en oh ja je moet ook nog een beschrijving maken voor in die cpld om dat protocol van Altera om te zetten, en ik ben natuurlijk niet verantwoordelijk als het niet werkt he ;)

zomaar een idee voor je boek -> beeldverking in FPGA´s, camera link, template matching........

free_electron

Silicon Member

ho paard. verkeerde boek. dit is geen boek wat een stapel applicaties laat zien . de bedoeling is dat je leert ZELF applicaties maken. daarvoor heb je ene basis nodig en moet je weten hoe logische systemen gebouwd worden. DAAR gaat het over.

die klok is maar een middel om iets uit te leggen en is een systeem wat iedereen begrijpt.
video opwekken en processen vereist een veel te grote kennis buitenom het onderwerp vna het boek.
das net zoals die boeken die je gaan leren programmeren. en het voorbeeld is een boekhoudprogramma. das onzin. de meeste mensen kennen niks van boekhouden dus is al die voorbeeldcode onbegrijpbaar.

tis anders wel een leuk idee.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

mijn 2 centen voor een boek :
het is immers digitaal en over programeren dus wie dit boek koopt moet maar een cdrom hebben .
doe er een (mini)cd bij het boek met:

eventueele naslag werk ,
shema's

als er shema's instaan die niet generiek zijn maar ook werken een component lijst op cd .

heb je vast nog ruimte voor tools en examples

als er component lijsten gebruikt gaan worden zorg dan voor dat een component niet te cryptisch is voor een nieuwkomer / als boek al weer "oud is" en er een nieuwe vervanger gezocht moet worden

dus uitleg bij exotische componenten

wat niet te doen :
een te groot deel van datasheet drukken

stop niet te veel WWW links in je boek tenzei je zeker weet dat ze levend blijven.

sucses

free_electron

Silicon Member

kijk das nuttig zie :

Op 1 augustus 2006 17:19:26 schreef sould3mon:

eventueele naslag werk ,
shema's

stop niet te veel WWW links in je boek tenzei je zeker weet dat ze levend blijven.

alle voorbeelden zijn downloadbaar bij elektuur. de voorbeelden zijn allemaal direct laadbaar, compileerbaar en synthetiseerbaar met Quartus 4.2 of later

der staan maar een paar links naar websites van fabrikanten van tools. die zulen niet gauw veranderen.

cdrom bij het boek jaagt de kostprijs omhoog.

alle voorbeelden kunnen gelopen worden op simpele cpld en fpga's. er ziten echter een paar grote in die alleen op het elektuur fpga bord draaien.

een van de dingen waar ik mee aant experimenteren ben is een 8052-ah-basic nabouwen. dus een compleet systeem in fpga waar een 8052 in zit met ram en de nodige peripherie.

daarop draait een basic interpreter.

hyperterminal eraan en je kan een programmatje schrijven wat dan kan lopen.

het nec-plus-ultra in programmeerbaarheid : een programmeerbare logicsche bouwsteen, waarin een processor met peripherie gemaakt is, waarop een interpreter draait, waarop je een programma kan schrijven, en je eigen programma kan laten lopen ...

ik ben een klein kaartje aant maken met een cpld op , 8 led displays ( 7 segmenten ) een paar losse leds , een toetsenbordje ( 20 keys : 4 losse en 16 gemuxte ) en een nest io pinnen )

tmoet simpel zijn maar genoeg kick hebben om 90% van de voorbeelden van het boek te lopen

naast de klok speel ik nog met een aantal andere ideeen : een frequentiemetertje , een mini logic analysertje , een rekenmachientje ( dat ding kan alleen 2 getallen van max 4 cijfers optellen of 2 cijfers te vermenigvuldigen.( de cpld heeft niet genoeg gates om de static multiplier te maken, de multiplier past maar de bcd decoder niet meer) dient om rekenkundige circuits te demonstreren )

er komt een EPM570 of EPM1270 op in 144 pin tqfp.
die chippies draaien rond de 13 a 14 dollar.
+ het printje : mag niet meer kosten dan 30 euro. ideaal als experimenteerprintje.

een van de ideen waar ik mee speel is dat het prinje leverbaar is via elektuur. op het printje zal de tqfp geoldeerd zijn alsmede ene paar belangrijke componenten ( de ontkoppleing en de regelaar voor de cpld ) de rest moet je zelf kopen en solderen. ( de led displays , druktoetsjes en connectortjes. )

eventuele is printje ook leeg te leveren voor mensen die wel over de nodige soldeerkunsten beschikken om een tqfp te kleven. die kunnen er dan een grotere op zetten bijvoorbeeld.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM