Oproep : Van 0 en 1 tot FPGA

Op 12 januari 2007 10:55:50 schreef fotoopa:
Nu een paar dagen later kom ik toch tot de vaststelling dat verilog idd vrij vlot te leren valt, zeker veel gemakkelijker dan VHDL.

Laat dat VHDL programmeren nou ook maar aan de pro's over he :p

"We cannot solve our problems with the same thinking we used when we created them" - Albert Einstein

Op 13 januari 2007 19:52:21 schreef flipflop:
[...]
Laat dat VHDL programmeren nou ook maar aan de pro's over he :p

Graag!

Kijk, als ik iets oplos maak mij dat heel weinig uit op welke manier.
Als het werkt, deel ik het zelfs graag mee, een prof doet dit nooit ( job bescherming).
FPGA, compilers, als je zoekt op de web, heel geheim, afschermen... hé, het is toch voor prof's.

Nee ik weet er staat een smile achter... maar ik vind het toch spijtig dat er zo weinig jonge mensen zich hiermee willen bezighouden. Alles moet met pic's, software en de meesten weten niet eens meer hoe het erbinnen aan toe gaat. Ik ben niet meer zo ervaren in al die software, hoewel ik heb ook jaren low level drivers (in assembler voor 68030) geschreven voor OS9 multitasking toepassingen, maar weet tenminste nog hoe je een schema moet ontwerpen, layouten, testen. Ik heb 26 jaar heel veel hadware ontwerp gedaan en veel van die dingen zijn vandaag nog in gebruik.

maar idd, t'is voor profs.

Op 13 januari 2007 21:56:33 schreef fotoopa:
[...]
...
maar idd, t'is voor profs.

Ik zou niet zeggen dat het persé voor prof's is... Ik denk eerder dat het de nogal steile leercurve is die met hardware ontwerp gepaard gaat.

Ik bedoel maar, je kan perfect een microcontroller programmeren (tot op een bepaald niveau uiteraard) zonder veel te hoeven weten van digitale logica/hardware... Je neemt gewoon een high level taal: C, Basic, Jal, PAscal (ik heb zo ooit is een draak van een processor gehad... Met pascal interpreter, oertraag, maar wel heel gemakkelijk te programmeren, simuleren en uitvoeren), je schrijft een programmatje en dat ding zal werken. Dan hang je wat ledjes aan de uitgangen, weerstandje erbij en je bent gesteld... Zelfs I2C is niet zo moeilijk te doen, of LCD's enzovoort. Als je weet wat een byte is dan is het meestal genoeg.

Maar een FPGA, da's al helemaal iets anders. Je hebt eigenlijk enkel digitale logica erin zitten (of je moest bv een virtexIIpro hebben met PowerPC's erin, maar die zijn ook niet zomaar te gebruiken). De link met een "minicomputertje" is heel ver te zoeken. Dan heb je nog de tools die een pak groter zijn dan pakweg de Codevision AVR suite (C IDE voor AVR's). Da's een editor, compiler en nog wat wizardjes, maar thats it. Xilinx ISE (ja en ook Quartus) zijn een pak uitgebreider en je kan er veel meer.

En je moet wel degelijk weten wat een flipflop, register, gate, bus, enzovoort is. Want als je dan een kickass stuk hardware hebt beschreven met VHDL bv (of verilog, maakt niet uit, een forloop met variable grenzen zal NOOIT synthetiseren), en je wilt dat synthetiseren, dan komt de synthesetool aandraven met allerlei warnings (in het beste geval ;)) of een hoop errors. En als je dan niets of weinig kaas hebt gegeten van digitale logica kom je daar nooit uit.

Maar ik denk dat je met behulp van een goed boek (en we weten allemaal welk ik bedoel), goede cursus, of iemand die je op weg zet je als hobbyist toch iets leuks kan doen met FPGA.

Een laatste reden die ik kan bedenken waarom FPGA's niet veel in hobby wordt gebruikt is omdat het nogal vrij nieuw is. Processoren en uC's zijn al iets langer goed verkrijgbaar dan FPGA's. En de meesten die nu elca als hobb hebben, hebben in hun opleiding wel processoren geprogd, maar FPGA hebben ze niet van gehoord. Mensen die nu een electronica opleiding volgen hebben wel digitaal design in hun opleiding, en ik zal dan bijvoorbeeld sneller geneigd zijn om een FPGA vast te pakken om iets te maken dan iemand die al 15+ jaar van school af is. En face the fact, elca is GEEN populair hobby bij de jeugd, jammer genoeg.

Als het werkt, deel ik het zelfs graag mee, een prof doet dit nooit ( job bescherming).
FPGA, compilers, als je zoekt op de web, heel geheim, afschermen... hé, het is toch voor prof's.

Afschermen wordt volgens mij toch ook gedaan in de professionele wereld van processoren etc... De professionele tools zijn ook niet zomaar te verkrijgen. Achter de PIC en AVR reeks (en beperkter de ARM) zit toch een hobbycomunity, waardoor er ook meer en meer tools verschijnen voor deze controllertjes.

Bij FPGA's begint er ook een community te vormen. Kijk maar naar websites zoals opencores.org of dsp-fpga.com (deze is wel iets abstracter).

En de fabrikanten van de FPGA's (Altera en Xilinx dan) geven toch altijd een gratis versie van hun tools, waardoor je toch de meeste devices die geschikt zijn voor de hobby kan targetten. Dat doen producenten van "professionele" processoren niet.

Maar mischien moeten we dit soort discusies niet iher houden in dit topic, want ik heb de indruk dat het niet echt meer over het boek gaat. Mischien een appart topic opstarten?

Sonar is meer dan Ping...

Free, is het misschien een idee, om bij een leverancier van FPGA's aan te kloppen, om bijv, bij jou boek een soort van kortingsbon te stoppen voor zo'n experimenteer bord.

Dus dat als je het boek koopt je korting krijgt op 1 specifiek bordje. Zodat je boek sneller gekocht wordt, omdat je er goedkoper mee aan een bord kan komen, en de leverancier van die bordjes grotere omzet kan maken ?

Misschien kan Free zelf wel als leverancier dienst doen.
Kan zelf een board ontwikkelen en commercialiseren.
Als het praktisch mogelijk is.

- - big bang - -

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten
free_electron

Silicon Member

het boek target 2 dingen : het ontwikelplatform van elektuur ( voor de echt grote dingen )
een klein ontwikkelplatformpje met een CPLD ( MAX-II erop) maar die toch al een flink aantal gates aan boord heeft.
beestje wordt een heel klein printkje met enkel de cpld en de jtag poort op. de rest komt op pinheaderkes naar buiten. er is ruimte om er zelf een ftdi245 bij te plaatsen , 20 drukknopjes en een 8 digit led display.
de cpld kost 12 euro of zoiets. dus heel goedkoop.
en je kan er alle voorbeelden uit het boek op draaien.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

Dat kleine boardje met een CPLD aan lage prijs zal heel zeker een goede oplossing zijn om een aantal nieuwe gebruikers te doen starten. Vooral die heel lage prijs moet helpen de laag buget gebruikers over de streep te trekken.

Voor de echte grote dingen bestaat er naast die elektuur board een veel betere prijs kwaliteits board met veel mogelijkheden. Ik heb op 2 dagen tijd de DE1 board binnen van Terrasic uit taiwan. Deze board kost inclusief de verzending $196 ( mogelijks nog BTW wat het geheel rond de €200 zou kunnen brengen) Maar deze board is zwaar ondersteunt door Altera waardoor zij deze board aan commercieele kostprijs verkopen. Dat is de reden waarom deze board zo goedkoop is. Ik moet hier de specificatie's niet gaan opsommen want dat kun je zelf, inclusief alle software downloaden, manuals enz. Daar zit een heel krachtige cycloneII op ( 18752 elementen) maar ook heel veel pheriferie en extra geheugen, SD kaart enz. Ook de USB blaster is op de board aanwezig wat enorm handig is bij het ontwikkelen van nieuwe toepassingen. De extra 72 externe beschikbare I/O pinnen maken het mogelijk al je toepassngen te laten draaien.

Intussen ben ik mede door het aanraden van free begonnen aan het leren van verilog. Het resultaat is echt verrassend. Het aanleren is zo vlot gegaan dat ik nu alles schrijf in verilog en dit met een enorme toegenomen ontwikkelsnelheid. ik ben nu bezig veel van mijn voorgaande modules te herschrijven in verilog en daarvan allerlei mooie gedocumenteerde modules te maken zodat ze universeel bruikbaar zijn. Zo werkt er al een PWM voor mijn nieuwe lasers, een morse generator voor automatische key, een RC5 dekoder enz. Dus ja free al voor je boek uitgegeven is heb je al navolgers van je aanbevelingen!

Ik ga zeker in andere topics nog bijdrages leveren van routines. Ze zijn allemaal parametriseerbaar waardoor ze snel aanpasbaar zijn voor andere freq of boards.
Ik denk dat er op CO ergens wel een extra verzamelplaats of topic kan zijn waar eventueel geschreven modules voor FPGA toepassingen kunnen staan.
Frans.

Als er meer mensen zijn die hardware beschrijvingen in verilog of VHDL schrijven en deze beschikbaar willen stellen op co, kan er dan misscien een apparte sectie onder schakelingen komen waar deze hardwarebeschrijvingen een plaatsje kunnen vinden?

En als iemand zich geroepen voelt om een klein FPGA/CPLD boardje te maken dan kan deze erbij gezet worden en hebben we de instap om met FPGA's/CPLD's te beginnen een stuk lager gemaakt :D

Free komt er ook iets in je boek over simuleren in Quartus?

Hobby, maar sample met mate. | BumbleBee plus pack | Weerstand calculator voor je PSP

Is er eigenlijk al iemand die de InkoopActie voor deze bordjes gaat regelen? ( Anders wil ik dit evt wel doen ). Of gaat dit verder alleen via elektuur ?

Want als Free de gerbers post, dan kunnen we ze ook zelf laten maken. Wss gaat dat dan goedkoper dan via elektuur.

Op 2 februari 2007 09:12:27 schreef Mr GAmba:
Want als Free de gerbers post, dan kunnen we ze ook zelf laten maken. Wss gaat dat dan goedkoper dan via elektuur.

Count me in

slimme mensen vinden oplossingen, genieën vinden problemen.

@free_electron:
Ik heb in feite jouw vorig boek niet over programmeren gekocht, dus kan ik nu evengoed de bal misslaan. Maar komt er iets over een flowchart opstellen en dan in correcte code omzetten hoofdstuk bij evt die synthese talen ( als dit in jouw vorig boek aangehaald werd, mijn excuses voor de overbodige vraag), wat toch altijd zéér interessant is?

groeten en dit wordt uitkijken naar dat boek!!!

Ben ook wel benieuwd naar het uiteindelijke papierwerk van f_e.

free_electron

Silicon Member

Op 6 februari 2007 05:15:43 schreef Maxime:
@free_electron:
Ik heb in feite jouw vorig boek niet over programmeren gekocht, dus kan ik nu evengoed de bal misslaan. Maar komt er iets over een flowchart opstellen en dan in correcte code omzetten hoofdstuk bij evt die synthese talen ( als dit in jouw vorig boek aangehaald werd, mijn excuses voor de overbodige vraag), wat toch altijd zéér interessant is?

groeten en dit wordt uitkijken naar dat boek!!!

flowcharts ? wie gebruikt dat nu nog >:)

bij werken met fpga kan je gene flowchart opstellen. der is namelijk geen 'flow' alles gebeurt tezelfdertijd.

wat wel kan is state diagrammas maken om state machines te maken. dat zal ik wel behandelen.

@switxching power.
jep simulatie komt ook aan bod hoor. dont worry.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

mss is dit wel offtopic, maar als je een sequentie moet programmeren, dan doe je dat toch adhv een flowchart of iets dergelijks?

free_electron

Silicon Member

Op 6 februari 2007 20:11:58 schreef Maxime:
mss is dit wel offtopic, maar als je een sequentie moet programmeren, dan doe je dat toch adhv een flowchart of iets dergelijks?

sequenties maak je met ofwel een state machine , ofwel een teller en een lookup tabel.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

ah bon, ik ben van opleiding elm dus dat zit niet mee die state machine... Maar is er ergens goed gedocumenteerde litaratuur ivm state machine. Ik vermoed dat dat in je boek ook wel aan bod komt ( dus nog even wachten of heb je jezelf een deadline vooropgesteld om die af te hebben?)

grtz from the cloudy belgium

free_electron, hoe ver sta je ermee?
heb je al een datum waarop je boek in ruwe vorm af zou kunnen zijn?

groeten

free_electron

Silicon Member

mid dit jaar. dus nog efkes geduldhe jongens.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM

free-electron, hoe schiet het op met je boek?
Heb je al iets concreets op papier of is alles al gedrukt klaar om op te sturen de wereld rond?

CO-ers krijgen toch wel de pdf he? :-)

"We cannot solve our problems with the same thinking we used when we created them" - Albert Einstein

Ja, en de eerste 25 (en dus snelste) downloaders doen automatisch mee voor de hoofdprijs, een volledig verzorgde vakantie naar Silicon Valley...

Zo'n donwload zou wel handig zijn, maar ik denk dat zijn uitgever daar echt niet gaat kunnen mee lachen.
Trouwens, vergeet ook niet hoeveel werk en (kostbare) tijd die jongen daar insteekt/ingestoken heeft.

- - big bang - -

Niets is zo eerlijk verdeeld als verstand: iedereen denkt er genoeg van te bezitten

eind 2007, al enig resultaat?
ik kan in ieder geval niets vinden op google.

free_electron

Silicon Member

druk jong, druk. als ik ooit eens vijf minuten tijd heb ... eerst project op t werk afkrijgen. dan kan ik weer verder eraan.

Professioneel ElectronenTemmer - siliconvalleygarage.com - De voltooid verleden tijd van 'halfgeleider' is 'zand' ... US 8,032,693 / US 7,714,746 / US 7,355,303 / US 7,098,557 / US 6,762,632 / EP 1804159 - Real programmers write Hex into ROM